Design Verification (functional Simulation, Model Checking) Patents (Class 716/106)
  • Patent number: 10878156
    Abstract: The present disclosure relates to a method for probabilistic simulation of a microelectronic device, said method being implemented automatically by an electronic processing device and including the following steps: a) defining a plurality of first samples of the device from a probability distribution of at least one physical parameter of the device; b) for each first sample, determining, through an electrical simulation method, the value of at least one operating variable of the device; c) defining, by regression from values of the physical parameters and operating variables of the first samples simulated in step b), a mathematical model approximating the response of the electrical simulation method.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: December 29, 2020
    Assignee: SILVACO FRANCE
    Inventors: Yoann Courant, Firas Mohamed Monade, Pierre Faubet
  • Patent number: 10860769
    Abstract: An integrated circuit (IC) design method includes receiving a spatial correlation matrix, R, of certain property of post-fabrication IC devices; and deriving a random number generation function g(x, y) such that random numbers for a device at a coordinate (x, y) can be generated by g(x, y) independent of other devices, and all pairs of random numbers satisfy the spatial correlation matrix R. The method further includes receiving an IC design layout having pre-fabrication IC devices, each of the pre-fabrication IC devices having a coordinate and a first value of the property. The method further includes generating random numbers using the coordinates of the pre-fabrication IC devices and the function g(x, y); deriving second values of the property by applying the random numbers to the first values; and providing the second values to an IC simulation tool.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: December 8, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Katherine Chiang, Cheng Hsiao, Chang-Yu Huang, Juan Yi Chen, Ke-Wei Su, Chung-Kai Lin, Lester Chang, Min-Chie Jeng
  • Patent number: 10860761
    Abstract: Example systems and methods are disclosed for estimating power consumption by a clock tree in a register-transfer level (RTL) circuit design based on a previously generated reference gate-level circuit design. A plurality of regions within the clock tree structure of the reference gate-level circuit design are identified, where the plurality of regions are demarcated by one or more clock gating structures. A region-based clock model is generated that includes at least one clock constraint model for each identified region. The region-based clock model is used to synthesize the clock tree in the RTL circuit design for estimating power consumption.
    Type: Grant
    Filed: June 4, 2019
    Date of Patent: December 8, 2020
    Assignee: Ansys, Inc.
    Inventors: Renuka Vanukuri, Seema Naswa
  • Patent number: 10853543
    Abstract: An automated method of determining power sequencing risks (e.g. power-up, power-down time sequences) for complex computer circuits with multiple independent power supplies. The method operates by logical consideration of the topological arrangement of MOSFETs and other devices in standard netlists. The invention inspects the various devices and automatically traces DC circuit paths to DC power rails. The invention then evaluates, as a type of logical existence proof, and on a per MOSFET device level, if due to assignment to different DC power levels, various factors, such as forward-biased diodes, floating MOSFET gate, and other risk factors could ever occur. The method generates comprehensive records of such risks and can output an overall analysis of a circuit reporting on both problematic power sequences, as well as circuit design factors that may be sub-optimal from a power sequence perspective.
    Type: Grant
    Filed: January 29, 2020
    Date of Patent: December 1, 2020
    Inventor: Jesse Conrad Newcomb
  • Patent number: 10846455
    Abstract: A method of verifying a circuit design, includes, in part, identifying a first groups of signals associated with the circuit, selecting a signal sampling window depth, performing a first verification of the circuit using a first test bench adapted to cause transitions in the first group of signals, storing values of the signals in the first group during each of the cycles defined by the sapling window depth to generate a first functional coverage, performing a second verification of the circuit design using a second test bench to generate a second functional coverage, comparing the second functional coverage to the first functional coverage, and automatically generating one or more cover property statements if the second functional coverage is less than the first functional coverage. The one or more cover property statements cause the second functional coverage to become equal to or greater than the first functional coverage.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: November 24, 2020
    Assignee: SYNOPSYS, INC.
    Inventors: Saptarshi Ghosh, Yogesh Pandey, Sivaprasad Acharya, Eduard Cerny
  • Patent number: 10846163
    Abstract: Described herein is a hybrid approach to error reporting, using a combination of hardware and software, for peripheral component interconnect (PCI) express devices. The device hardware detects an error on a packet, where the packet is for a transaction and received from a host computer. Upon detecting the error, the device hardware generates an interrupt that is processed in software. In certain embodiments, the software based processing involves determining, based on the packet, that the transaction is directed to an address space of an emulated configuration register. The software based processing further involves identifying a function as being associated with the error, determining attributes associated with the error, and storing the attributes and an identifier associated with the function in a location available to the device hardware, thereby enabling the device hardware to report the attributes and identifier to the host computer.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: November 24, 2020
    Assignee: Amazon Technologies, Inc.
    Inventors: Hani Ayoub, Adi Habusha, Itay Poleg
  • Patent number: 10831961
    Abstract: A data analysis engine is implemented in a testbench to improve coverage convergence during simulation of a device-under-validation (DUV). During a first simulation phase initial stimulus data is generated according to initial random variables based on user-provided constraint parameters. The data analysis engine then uses a time-based technique to match coverage variables sampled from simulation response data with corresponding initial random variables, determines a functional dependency (relationship) between the sampled coverage variables and corresponding initial random variables, then automatically generates revised constraint parameters based on the functional dependency. The revised constraint parameters are then used during a second simulation phase to generate focused random variables used to stimulate the DUV to reach additional coverage variables. In one embodiment, the functional dependency is determined by cross-correlating sampled coverage variables and corresponding initial random variables.
    Type: Grant
    Filed: July 12, 2019
    Date of Patent: November 10, 2020
    Assignee: Synopsys, Inc.
    Inventors: Esha Dutta, Danish Jawed, Bhaskar Pal, Parijat Biswas, Pravash Chandra Dash, Rajarshi Mukherjee, Sharad Gaur
  • Patent number: 10824772
    Abstract: Aspects determine optimal physical attribute values for defining a grip form factor on a microfluidic grip panel of a digital pen as a function of a correlation of the optimal physical attribute values to an intended use of the digital pen, wherein the optimal physical attribute values include a width dimension, a surface texture attribute, and shape pattern attribute that is defined as a function of the width dimension; and drive the microfluidic grip panel into a grip form corresponding to the determined optimal physical attribute values during use of the digital pen by a user.
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: November 3, 2020
    Assignee: International Business Machines Corporation
    Inventors: Sarbajit K. Rakshit, Martin G. Keen, John M. Ganci, Jr., James E. Bostick
  • Patent number: 10803221
    Abstract: Described is a method for implementing a snap to capability that enables the manufactured of a valid pattern in a semiconductor device, based upon an originally invalid pattern.
    Type: Grant
    Filed: September 5, 2017
    Date of Patent: October 13, 2020
    Assignee: PDF Solutions, Inc.
    Inventors: Elizabeth Lagnese, Jonathan Haigh
  • Patent number: 10803218
    Abstract: Systems and methods are provided for simulating quantile behavior of a physical system. A plurality of parameter samples to a physical system are accessed and a subset of the parameter samples are identified, each of the plurality of parameter samples including a variation of parameters for the physical system. The physical system is simulated based on the subset of the parameter samples to generate simulation results, each of the subset of the parameter samples corresponding to a respective one of the simulation results. A neural network is trained to predict the simulation results based on the subset of the parameter samples.
    Type: Grant
    Filed: December 18, 2018
    Date of Patent: October 13, 2020
    Assignee: ANSYS, INC
    Inventors: Qian Shen, Joao Geada, Robert Geada
  • Patent number: 10795266
    Abstract: A model-based tuning method for tuning a first lithography system utilizing a reference lithography system, each of which has tunable parameters for controlling imaging performance. The method includes the steps of defining a test pattern and an imaging model; imaging the test pattern utilizing the reference lithography system and measuring the imaging results; imaging the test pattern utilizing the first lithography system and measuring the imaging results; calibrating the imaging model utilizing the imaging results corresponding to the reference lithography system, where the calibrated imaging model has a first set of parameter values; tuning the calibrated imaging model utilizing the imaging results corresponding to the first lithography system, where the tuned calibrated model has a second set of parameter values; and adjusting the parameters of the first lithography system based on a difference between the first set of parameter values and the second set of parameter values.
    Type: Grant
    Filed: February 9, 2018
    Date of Patent: October 6, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Jun Ye, Yu Cao
  • Patent number: 10775430
    Abstract: A computing system implementing a functional safety validation tool to simulate a circuit design having a digital portion and an analog portion, and inject a fault into the digital portion of a simulated circuit design, which propagates towards alarm logic configured to detect the injected fault. When the injected fault propagates to a boundary between the digital portion and the analog portion, the functional safety validation tool can perform a parallel simulation of the analog portion, which propagates the injected fault from the boundary through the analog portion to an output. The functional safety validation tool can determine whether the analog portion of the circuit design suppresses the injected fault based on a value at the output. The functional safety validation tool can generate a fault coverage presentation identifying a diagnostic coverage of the alarm logic based on whether the injected fault was suppressed.
    Type: Grant
    Filed: December 18, 2018
    Date of Patent: September 15, 2020
    Assignee: Mentor Graphics Corporation
    Inventors: Sanjay Pillay, Arun Kumar Gogineni, Srikanth Rengarajan
  • Patent number: 10768916
    Abstract: In one embodiment, a method may receive, by a compiler of a host computing system, source code for a computer application. The method may also include separating a first portion of the source code and a second portion of the source code that are to be compiled for execution by an accelerator operatively coupled to the host computing system. The method may also include compiling the first portion of the source code to generate hardware description language code. A logic block is to be generated on the accelerator in view of the hardware description language code. The method also includes compiling the second portion of the source code to generate softcore processor code, and adding instructions to the softcore processor code to cause the softcore processor code to interact with the logic block during execution of the softcore processor code and the logic block.
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: September 8, 2020
    Assignee: Red Hat, Inc.
    Inventor: Ulrich Drepper
  • Patent number: 10762259
    Abstract: A computer/software tool for electronic design automation (EDA) extracts parasitics from a post-layout netlist file for an integrated circuit (IC) design and uses these parasitics to model circuit behavior between one or more excitation points and one or more observation points for a given circuit design characteristic. Sensitivities of that given circuit design characteristic to each constituent parasitic (or a group of parasitics that might be, for example, associated with a given structural element such as a layer) are then computed. The computer/software tool generates a visual display based on the relative sensitivities; for example, in one embodiment, relative sensitivities can be color-coded to permit a designer to visualize sources of problems in the IC design. In other embodiments, the sensitivities can be filtered and/or processed, e.g., so as to provide EDA driven assistance to changes to reduce excessive sensitivities or sensitivities to certain parasitics.
    Type: Grant
    Filed: June 2, 2019
    Date of Patent: September 1, 2020
    Assignee: Diakopto, Inc.
    Inventor: Maxim Ershov
  • Patent number: 10746793
    Abstract: The present specification is related to analysis of digital circuits for assessing a fault sensitivity of a digital logic circuit. An example method includes: obtaining a set of input vectors that represent possible inputs to the digital logic circuit; for each output gate of the plurality of digital logic gates: (i) for each input vector of the set of input vectors, determining a cumulative output delay for the output gate, and (ii) determining an averaged cumulative output delay for the output gate by averaging the cumulative output delays for the output gate that were determined for multiple input vectors of the set of input vectors; generating a fault sensitivity score for the digital logic circuit based on the averaged cumulative output delays for the output gates of the digital logic circuit; and providing the fault sensitivity score.
    Type: Grant
    Filed: February 7, 2018
    Date of Patent: August 18, 2020
    Assignee: Accenture Global Solutions Limited
    Inventor: Nahid Farhady Ghalaty
  • Patent number: 10747922
    Abstract: A test circuit includes a plurality of codec logic elements arranged in a plurality of annular rings on an integrated circuit, each codec logic element configured to provide test bits to one or more respective scan chain and receive test result bits from the one or more respective scan chain. The test circuit further includes a decompressor logic arranged along at least one annular ring of the plurality of annular rings on the integrated circuit, the decompressor logic configured to provide test bits to at least one codec logic element in each annular ring. The test circuit also includes a compressor logic arranged transversely with respect to the plurality of annular rings on the integrated circuit, the compressor logic configured to receive test result bits from at least one of the plurality of codec logic elements.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: August 18, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Akhil Garg, Sahil Jain, Vivek Chickermane
  • Patent number: 10740516
    Abstract: An unobservable cycle for at least one latch in a circuit design is detected. The unobservable cycle indicates that the at least one latch is not observable to downstream logic in the circuit design. A coverage event is generated to identify the unobservable cycle for the at least one latch. The coverage event is tracked to detect a state associated with the unobservable cycle and a state change cycle. The state change cycle is determined based on a simulation technique. A redundant switching of the at least one latch based on the state associated with the unobservable cycle and the state change cycle is determined. Furthermore, manufacturing of a circuit based on the circuit design is at least initiated. The circuit design is modified to prevent the redundant switching of the at least one latch.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: August 11, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Giora Biran, Eli Arbel
  • Patent number: 10740525
    Abstract: A method for simulating semiconductor devices includes running ensemble Monte Carlo (EMC) simulations of a plurality of semiconductor devices having a first plurality of configurations in a Design of Experiment (DoE) space to produce EMC results. Mobility parameters are extracted across the DoE space from the EMC results. A response-surface mobility model is constructed using the extracted mobility parameters. The response-surface mobility model is used to run a drift-diffusion simulation of a semiconductor device with a different configuration from the first plurality of configurations.
    Type: Grant
    Filed: May 9, 2016
    Date of Patent: August 11, 2020
    Assignee: SYNOPSYS, INC.
    Inventor: Asen Asenov
  • Patent number: 10733341
    Abstract: An illustrative system may comprise a plurality of distributed network nodes hosting a two-dimensional distributed digital ledger. The distributed digital ledger may have a plurality of chains of digital blocks in the two-dimensions, wherein each chain may be associated with a particular functionality (e.g., a first set of integrated circuit processes) and a corresponding level of security. For example, a first chain in the first direction may contain digital blocks containing code differentials of the hardware description language code forming the integrated circuit design. A second chain in a second direction may contain digital blocks containing simulation data records generated during the simulation of the integrated circuit design. The first chain and the second chain may be based upon different cryptographic protocols and therefore may be cryptographically separate from each other.
    Type: Grant
    Filed: March 27, 2019
    Date of Patent: August 4, 2020
    Assignee: Architecture Technology Corporation
    Inventor: Joseph Cascioli
  • Patent number: 10733342
    Abstract: A hierarchical power verification system and method creates abstract models of power behavior of modules that it successfully verifies. The abstract models simplify the module definition by omitting internal module details but provide sufficient information for power verification of higher level modules that incorporate this abstracted module. Design blocks are replaced with these abstract power models, resulting in reduced run-time and memory requirements. The power models can include power switches inside the block, related supplies of logic ports, supply power states, system power states, power management devices such as isolation logic and level shifters, feed-through and floating ports. The power model may be expressed either in UPF or as a combination of liberty model and UPF. After replacing modules with abstracted models the HPVS can quickly verify an entire SoC with a small memory footprint.
    Type: Grant
    Filed: September 19, 2018
    Date of Patent: August 4, 2020
    Assignee: Synopsys, Inc.
    Inventors: Shekaripuram V. Venkatesh, Nitin Sharma, Sanjay Gulati, Parul Bhatia
  • Patent number: 10726190
    Abstract: Systems and methods are provided for identifying a wire of a plurality of wires to be adjusted to mitigate effects of electromigration. A segment electromigration stress value for each segment of a wire is determined for a wire of a circuit in a circuit design. A wire electromigration stress value for the wire is determined as a function of the segment electromigration stress values of segments of the wire. A ranked list of two or more wires of the circuit is displayed according the wire electromigration stress values of the two or more wires.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: July 28, 2020
    Assignee: ANSYS, INC.
    Inventor: Craig Larsen
  • Patent number: 10719657
    Abstract: Disclosed are a process design kit (PDK) for integrated circuit (IC) designs and a computer-aided design (CAD) system that employs the PDK. The PDK includes a design scan script. When the script is executed by the CAD system, previously generated and stored IC designs are scanned and a report with cell use information (CUI) is generated. The CUI indicates the different parameterized cells (pcells) and different configurations thereof contained in the IC designs. Also disclosed is a PDK development system, which receives CUI reports from CAD system(s), compiles the CUI, and revises the PDK (i.e., develops an update or upgrade) based, in part, on the complied CUI. For example, the complied CUI can indicate critical targets that require a regression analysis during the PDK revision process. By limiting regression analyses to identified critical targets, the turn around time and costs associated with revising the PDK are significantly reduced.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: July 21, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Romain Herve Aurelien Feuillette
  • Patent number: 10713406
    Abstract: A method for optimizing a multi die implementation flow that is aware of mix-and-match die integration for implementing multi-die integrated circuits includes partitioning a netlist into partitions comprehending mix-and-match die integration, wherein each partition will be assigned to a die. Each partition is placed into a corresponding die. A clock tree of the integrated circuit is synthesized. Nets of the integrated circuit in are routed in accordance the placing and synthesizing.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: July 14, 2020
    Assignee: The Regents of the University of California
    Inventors: Andrew B. Kahng, Kwangsoo Han, Jiajia Li
  • Patent number: 10705949
    Abstract: A method for evaluating a test suite for a software library includes generating a mutated software library by adding a fault to the software library, while the software library is used by a testing tool to evaluate a test suite. The method further includes loading the mutated software library, then executing a test in the test suite on the mutated software library to obtain a test result. The method further includes analyzing the test result.
    Type: Grant
    Filed: November 3, 2017
    Date of Patent: July 7, 2020
    Assignee: Oracle International Corporation
    Inventors: Padmanabhan Krishnan, Rebecca O'Donoghue, Jerome Loh
  • Patent number: 10685167
    Abstract: The present disclosure relates to a computer-implemented method for use in design for manufacturing associated with a die or package. Embodiments may include providing, using a processor, an electronic design and displaying, at a graphical user interface, at least a portion of a layout associated with the electronic design. Embodiments may also include determining an expected thermal or centrifuge force manufacturing variation associated with the electronic design. Embodiments may further include allowing a user to insert, at the graphical user interface prior to signoff, a copper pillar bump or solder bump on at least a portion of the layout based upon, at least in part, the determined expected thermal or centrifuge force manufacturing variation. Embodiments may further include displaying the copper pillar bump or the solder bump on the layout at the graphical user interface.
    Type: Grant
    Filed: September 30, 2018
    Date of Patent: June 16, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jean-François Alain Lepère, Arnold Ginetti
  • Patent number: 10671506
    Abstract: Pre-silicon fairness evaluation to detect fairness issues pre-silicon. Drivers drive a plurality of commands on one or more interfaces of a device under test to test the device under test. State associated with the device under test is checked. Based on the state, a determination is made as to whether the drivers are to continue driving commands against the device under test. Based on determining that the drivers are to continue driving the commands, a further determination is made as to whether a predefined limit has been reached. Based on determining the predefined limit has been reached, ending the test of the device under test in which the test fails.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: June 2, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dean G. Bair, Rebecca M. Gott, Edward J. Kaminski, Jr., William J. Lewis
  • Patent number: 10664249
    Abstract: The generation of reversible circuits from high-level code is desirable in a variety of application domains, including low-power electronics and quantum computing. However, little effort has been spent on verifying the correctness of the results, an issue of particular importance in quantum computing where such circuits are run on all inputs simultaneously. Disclosed herein are example reversible circuit compilers as well as tools and techniques for verifying the compilers. Example compilers disclosed herein compile a high-level language into combinational reversible circuits having a reduced number of ancillary bits (ancilla bits) and further having provably clean temporary values.
    Type: Grant
    Filed: March 3, 2016
    Date of Patent: May 26, 2020
    Assignee: Microsoft Technology Licensing, LLC
    Inventors: Matthew Amy, Martin Roetteler, Krysta Svore
  • Patent number: 10635768
    Abstract: The present disclosure relates to a method for electronic design. Embodiments may include receiving, using a processor, an electronic design and performing formal verification upon at least a portion of the electronic design for a specific problem statement. Embodiments may further include generating a plurality of traces associated with the formal verification satisfying the specific problem statement and displaying, at a graphical user interface, an option to select at least one of the plurality of traces for display at the graphical user interface while the formal verification is performed.
    Type: Grant
    Filed: January 26, 2018
    Date of Patent: April 28, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thiago Radicchi Roque, Chien-Liang Lin, Guilherme Henrique de Sousa Santos, Chung-Wah Norris Ip
  • Patent number: 10635846
    Abstract: A timing error analysis method includes, extracting, from error information, a design value related to a delay amount of a signal path and a feature that is an input when a machine learning model learns with the design value as an output, estimating a correct answer value of the design value from the feature and the machine learning model learning a relationship between the design value and the feature, comparing the design value with the correct answer value and storing a comparison result, generating a comparison result list including countermeasures for eliminating the timing error according to the comparison result, aggregating signal paths included in the comparison result list for each design block to generate an error list including information indicating the signal paths aggregated for each of the design blocks and the countermeasures, and outputting the error list.
    Type: Grant
    Filed: August 3, 2018
    Date of Patent: April 28, 2020
    Assignee: FUJITSU LIMITED
    Inventor: Michitaka Hashimoto
  • Patent number: 10621290
    Abstract: A computer implemented method of translation of verification commands of an electronic design, comprises the steps of receiving the electronic design, receiving at least one analog test harness model having at least one indirect branch contribution statement and having at least two stimulus parameter stored in at least one specification database and at least one measurement parameter stored in at least one specification database and at least one specification parameter stored in at least one specification database, a first stimulus parameter of the at least two stimulus parameters comprising an input to an input pin of the electronic circuit defined by the electronic design file, translating the at least one indirect branch contribution statement into a plurality of direct branch contribution operators based at least in part upon the at least one analog test harness model and at least one of at least two stimulus parameter stored in at least one specification database and at least one measurement parameter st
    Type: Grant
    Filed: August 9, 2019
    Date of Patent: April 14, 2020
    Assignee: ZIPALOG, INC.
    Inventors: Felicia James, Michael Krasnicki, Xiyuan Wu
  • Patent number: 10606970
    Abstract: Examples of techniques for statistical static timing analysis of an integrated circuit are disclosed. In one example according to aspects of the present disclosure, a computer-implemented method is provided. The method comprises performing an initial statistical static timing analysis of the integrated circuit to create a parameterized model of the integrated circuit for a plurality of paths using a plurality of timing corners to calculate a timing value for each of the plurality of paths, each of the plurality of timing corners representing a set of timing performance parameters. The method further comprises determining at least one worst timing corner from the parameterized model for each of the plurality of paths based on the initial statistical static timing analysis and calculated timing value for each of the plurality of paths. The method also comprises performing a subsequent analysis of the integrated circuit using the at least one worst timing corner.
    Type: Grant
    Filed: April 12, 2018
    Date of Patent: March 31, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eric A. Foreman, Jeffrey G. Hemmett, Kerim Kalafala, Gregory M. Schaeffer, Stephen G. Shuma, Alexander J. Suess, Natesan Venkateswaran, Chandramouli Visweswariah, Vladimir Zolotov
  • Patent number: 10599800
    Abstract: Formal verification techniques are used to extract valid clock modes from a hardware description of the clock network. In one aspect, the clock network includes primary clocks and configuration signals as inputs, and also includes derived clocks within the clock network. The derived clocks are configurable for different clock modes according to the values of the configuration signals. A parametric liveness property checking is applied to the derived clocks, where the configuration signals are parameters for the parametric liveness property checking. The parametric liveness property checking infers which values of the configuration signals result in valid clock modes for the derived clocks.
    Type: Grant
    Filed: July 27, 2018
    Date of Patent: March 24, 2020
    Assignee: Synopsys, Inc.
    Inventors: Mohamed Shaker Sarwary, Hans-Joerg Peter, Guillaume Plassan, Barsneya Chakrabarti, Mohammad Homayoun Movahed-Ezazi
  • Patent number: 10599797
    Abstract: The present disclosure relates to a method for use in a formal verification of an electronic design. Embodiments may include providing, using at least one processor, an electronic design and performing linting analysis using structural and formal methods of at least a portion of the electronic design. Embodiments may also include identifying a plurality of failures from the formal verification and identifying one or more of the plurality of failures as having a similar root cause. Embodiments may include grouping the one or more of the plurality of failures together, wherein grouping is based upon, at least in part, a check type.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: March 24, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Nizar Hanna, Kanwar Pal Singh, Maayan Ziv, Sudeep Kumar Srivastava, Tamer Mograbi, Sanaa Halloun
  • Patent number: 10592625
    Abstract: Logic diagnosis is performed on failing reports of defective integrated circuits to derive a diagnosis report for each of the failing reports which comprise information of suspects. The suspects comprise cell internal suspects and interconnect suspects. A probability distribution of root causes for causing the defective integrated circuits is determined to maximize a likelihood of observing the diagnosis reports based on a probability for each of the suspects given each of the root causes and a probability for each of the diagnosis reports given each of the suspects. The probability for each of the diagnosis reports given each of the cell internal suspects is weighted higher than the probability for each of the diagnosis reports given each of the interconnect suspects.
    Type: Grant
    Filed: October 26, 2018
    Date of Patent: March 17, 2020
    Assignee: Mentor Graphics Corporation
    Inventors: Huaxing Tang, Manish Sharma, Wu-Tung Cheng, Gaurav Veda
  • Patent number: 10592955
    Abstract: A system creates a graph of nodes connected by arcs, and identifies a first compound attribute associated with contacts purchased by a current user. The first compound attribute includes a first attribute associated with a first value and a second attribute associated with a second value. The system identifies a directed arc from a first node to a second node. The directed arc is associated with a probability that previous users who purchased a first contact associated with the first compound attribute also purchased a second contact associated with a second compound attribute. The second compound attribute includes the first attribute, associated with a third value which matches the first value, and the second attribute, associated with a fourth value, which lacks a match with the second value. The system outputs a recommendation for the current user to purchase contacts associated with the second compound attribute if the probability exceeds a threshold.
    Type: Grant
    Filed: October 2, 2014
    Date of Patent: March 17, 2020
    Assignee: salesforce.com, inc.
    Inventors: Arun Jagota, Matthew Fuchs, Gregory Haardt
  • Patent number: 10592624
    Abstract: The fault analysis problem is modelled by automatically creating additional properties (fault properties) and constraints based on a plurality of injected faults and existing user assertions. These fault properties and constraints are sent to formal verification in a single run to qualify all of the faults together, rather than sequentially checking each fault in a separate formal verification run.
    Type: Grant
    Filed: June 1, 2018
    Date of Patent: March 17, 2020
    Assignee: Synopsis, Inc.
    Inventors: Sandeep Jana, Arunava Saha, Pratik Mahajan, Per Bjesse, Alfred Koelbl
  • Patent number: 10586001
    Abstract: Disclosed herein are system, method, and computer-readable storage device embodiments for implementing automated root-cause analysis for static verification. An embodiment includes a system with memory and processor(s) configured to receive a report comprising violations and debug fields, and accept a selection of a seed debug field from among the plurality of debug fields. Clone violations may be generated by calculating an overlay of a given violation of the violations and a seed debug field, yielding possible values for a subset of debug fields. A clone violation may be created for a combination of the at least two second debug fields, populating a projection matrix, which may be used to map violations and clone violations to corresponding numerical values in the projection matrix and determine a violation cluster based on the mapping having corresponding numerical values and score(s) satisfying a threshold, via ML. Clustering may further be used to generate visualizations.
    Type: Grant
    Filed: January 8, 2019
    Date of Patent: March 10, 2020
    Assignee: Synopsys, Inc.
    Inventors: Sauresh Bhowmick, Sanjay Gulati, Sourasis Das, Bhaskar Pal, Rajarshi Mukherjee
  • Patent number: 10579757
    Abstract: Data is received that characterizes a chip in the package system (CPS) having a plurality of wires and vias. Thereafter, using the received data, a chip power calculation is performed. The chip power calculated is used to generate a thermal-aware power map. Further, package and system level thermal analysis is performed using the power map to generate a tile-based CPS thermal profile. A plurality of chip finite element sub-models are then generated that each correspond to a different tile. A thermal field solution is solved for each sub-model so that, for each wire, wire temperature rises are extracted from the corresponding the chip sub-model analysis and combined with temperature values from the CPS thermal profile. This extracting and combining is then used to generate a back-annotation file covering each metal wire and via in the CPS.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: March 3, 2020
    Assignee: Ansys, Inc.
    Inventors: Hsiming Pan, Zhigang Feng, Norman Chang
  • Patent number: 10572371
    Abstract: A method and system for automatic use case generation. A computer system receives a configuration file that defines multiple categories and one or more filters. Each of the categories includes one or more options and each of the filters defines a condition for combining the options across the categories. The computer system builds a data hierarchy based on the configuration file. The data hierarchy includes a root and multiple levels. Each of the levels corresponds to one of the categories and includes nodes that represent the options of the corresponding categories. The computer system parses the data hierarchy from the root, while skipping the nodes and their descendants that do not satisfy the filters, to identify combinations of the options across the categories that satisfy the filters. The computer system then generates use cases that use the identified combinations as settings.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 25, 2020
    Assignee: Red Hat Israel, Ltd.
    Inventors: Michael Goldish, Uri Lublin
  • Patent number: 10558782
    Abstract: A design tool can implement phase algebra based design evaluation to evaluate a circuit design with a compact representation of waveforms without simulating the individual waveforms. The tool can determine that a first sequence of signal transition representations of a first signal of the first module comprises a null sequence. The first module of a register level circuit design comprises a second module, the first module and the second module arranged in a hierarchical order. The tool can determine a second sequence of signal transition representations of a second signal of the second module. Signal transition representations of the first signal are for propagation from the first module to the second module using the second signal. The tool can extract a non-null sequence for the first sequence based on the second sequence to generate an extracted first sequence.
    Type: Grant
    Filed: May 3, 2019
    Date of Patent: February 11, 2020
    Assignee: International Business Machines Corporation
    Inventors: Gabor Drasny, Gavin B. Meil
  • Patent number: 10555347
    Abstract: The present invention relates to the field of communications, and in particular, to a method for configuring a physical random access channel (PRACH) resource, a method for acquiring a PRACH resource configuration, a base station, and user equipment. The method for configuring a resource includes configuring, by a base station, a supported coverage enhancement level and a PRACH resource corresponding to the coverage enhancement level, and transmitting, by the base station to user equipment, a resource index and/or resource configuration information of the PRACH resource configured for the coverage enhancement level, so that the user equipment acquires the corresponding PRACH resource, and transmits a preamble on the acquired PRACH resource.
    Type: Grant
    Filed: August 10, 2016
    Date of Patent: February 4, 2020
    Assignee: Huawei Technologies Co., Inc.
    Inventor: Jinhuan Xia
  • Patent number: 10540467
    Abstract: The present disclosure relates to a method for electronic circuit design. Embodiments may include receiving, using a processor, an electronic circuit design and identifying at least one combinational loop associated with the electronic circuit design. Embodiments may also include extracting, for each component of the loop, a set of logic conditions and modeling the at least one combinational loop. Embodiments may further include providing a graphical user interface configured to display one or more constraint candidates and determining whether or not a conflict exists between constraint candidates. Embodiments may also include ranking the constraint candidates, based upon, at least in part, a number of loops disabled and one or more disabled loop characteristics.
    Type: Grant
    Filed: April 3, 2018
    Date of Patent: January 21, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Craig Franklin Deaton, Abner Luis Panho Marciano, Matheus Nogueira Fonseca, Ronalu Augusta Nunes Barcelos, Fabiano Cruz Peixoto
  • Patent number: 10532265
    Abstract: The present disclosure is directed to a system for sensor-based objective determination. In general, sensor data may be used to render objective determinations that were not previously possible due to the unavoidable subjectivity of human-based officiating systems. For example, at least one device may be configured to make objective determinations during the course of a sporting event. Data collection circuitry may receive data from sensor devices coupled to players, equipment, playing surfaces, etc. Data analysis circuitry may categorize the data and input the data into a model to determine if an infraction occurred. For example, categorization may involve determining a type of infraction that may have occurred based on the sensor data. The model may then be selected based on the type of infraction, the model being developed utilizing prior sensor data, rules for the sporting event, etc. Output circuitry may generate a notification based on the infraction determination.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: January 14, 2020
    Assignee: Intel Corporation
    Inventors: Richard Paul Crawford, David I. Poisner, Yuri I. Krimon
  • Patent number: 10521538
    Abstract: An integrated circuit (IC) design method includes receiving a spatial correlation matrix, R, of certain property of post-fabrication IC devices; and deriving a random number generation function g(x, y) such that random numbers for a device at a coordinate (x, y) can be generated by g(x, y) independent of other devices, and all pairs of random numbers satisfy the spatial correlation matrix R. The method further includes receiving an IC design layout having pre-fabrication IC devices, each of the pre-fabrication IC devices having a coordinate and a first value of the property. The method further includes generating random numbers using the coordinates of the pre-fabrication IC devices and the function g(x, y); deriving second values of the property by applying the random numbers to the first values; and providing the second values to an IC simulation tool.
    Type: Grant
    Filed: October 26, 2016
    Date of Patent: December 31, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD
    Inventors: Katherine Chiang, Cheng Hsiao, Chang-Yu Huang, Juan Yi Chen, Ke-Wei Su, Chung-Kai Lin, Lester Chang, Min-Chie Jeng
  • Patent number: 10515170
    Abstract: Disclosed is a technology for parallelized design verification of two circuit designs at a register transfer level. A plurality of potential equivalent sub-circuit pairs is identified from the circuit designs to create a proof-tree structure. The proof-tree structure includes a root-proof, a plurality of parent-proofs downchain of said root-proof and a plurality of child-proofs downchain of at least one of the parent-proofs. Each one of the child-proofs is associated with a first equivalency status of one of the potential equivalent sub-circuit pairs. The parent-proofs are associated with second equivalency statuses dependent upon the first equivalency statuses of downchain child-proofs. The root-proof is associated with a third functional equivalency status of the two circuit designs dependent upon the second equivalency statuses of downchain parent-proofs. This Abstract is not intended to limit the scope of the claims.
    Type: Grant
    Filed: July 12, 2018
    Date of Patent: December 24, 2019
    Assignee: Synopsys, Inc.
    Inventors: Sudipta Kundu, Per Bjesse
  • Patent number: 10514973
    Abstract: Aspects of the disclosed technology include a method including extracting, by a processor, a plurality of features from one from among a layout of a circuit, a netlist of the circuit, and the layout and the netlist of the circuit; computing, by the processor, respective lifetime distributions of the plurality of extracted features based on at least one circuit profile; and estimating, by the processor, a lifetime of the circuit by combining the respective lifetime distributions of the plurality of extracted features.
    Type: Grant
    Filed: March 1, 2017
    Date of Patent: December 24, 2019
    Assignee: Georgia Tech Research Corporation
    Inventors: Linda Milor, Taizhi Liu, Chang-Chih Chen
  • Patent number: 10496779
    Abstract: Aspects of the invention relate to yield analysis techniques for generating root cause candidates for yield analysis. With various implementations of the invention, points of interest are first identified in a layout design. Next, regions of interest are determined for the identified points of interest. Next, one or more properties are extracted from the regions of interest. Based at least on the one or more properties, diagnosis reports of failing devices fabricated according to the layout design are analyzed to identify probable root causes.
    Type: Grant
    Filed: September 12, 2016
    Date of Patent: December 3, 2019
    Assignee: Mentor Graphics Corporation
    Inventors: Robert Brady Benware, Wu-Tung Cheng, Christopher Schuermyer, Jonathan J. Muirhead, Chen-Yi Chang
  • Patent number: 10482206
    Abstract: The present disclosure relates to a method for electronic design verification. Embodiments may include receiving, using a processor, at least one electronic design file and a set of inputs from a user, wherein the at least one electronic design file and set of inputs are associated with an electronic design. Embodiments may further include performing formal verification on at least a portion of the electronic design and determining, using a model checker, one or more conflicts associated with a variable during the formal verification. Embodiments may also include translating the one or more conflicts into one or more corresponding signal names and displaying, at a graphical user interface, the corresponding signal names.
    Type: Grant
    Filed: June 6, 2017
    Date of Patent: November 19, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Breno Rodrigues Guimarães, Caio Araujo Teixeira Campos, Björn Håkan Hjort
  • Patent number: 10474778
    Abstract: Methods and systems for designing an integrated circuit device are described. The method includes receiving RTL descriptions of the whole device and generating lower level component descriptions. The method further includes grouping the component descriptions into blocks, analyzing the component descriptions, and identifying block internal removable components based on the analysis. The method further includes removing the removable components. Reduced design is converted into gate-level descriptions. Finally, the method includes executing high quality and high efficiency device TOP level physical implementation and generation of physical and timing constrains for block level design.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: November 12, 2019
    Assignee: Mellanox Technologies Ltd.
    Inventor: Alexander Martfeld
  • Patent number: 10452798
    Abstract: The present disclosure relates to a method for use in a formal verification of an electronic design. Embodiments may include providing, using at least one processor, an electronic design and performing formal verification of at least a portion of the electronic design having an original property. Embodiments may further include analyzing at least one output net bit associated with a check of the electronic design. Embodiments may also include generating a structural observability expression, based upon, at least in part, the at least one output net bit and setting the structural observability expression as a precondition to the original property.
    Type: Grant
    Filed: November 9, 2017
    Date of Patent: October 22, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Nizar Hanna, Habeeb Farah, Almothana Sarhan, Doron Bustan