Verification Patents (Class 716/111)
  • Patent number: 10311194
    Abstract: According to one general aspect, a method may include dividing circuit cells into colorable sub-portions, wherein each circuit cell includes one or more colorable sub-portions. The method may include determining if a violating colorable sub-portion is to be re-colored. The method may include indicating that the violating colorable sub-portion is to be at least partially re-colored.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: June 4, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Andrew P. Hoover, Chandrakanth Ramesh, David A. Petermann
  • Patent number: 10289583
    Abstract: In an aspect of the disclosure, a method, a computer-readable medium, and an apparatus are provided. The apparatus may be an embedded-system device. The embedded-system device emulates a first serial port at the embedded-system device. The embedded-system device exposes the first serial port to a host of the embedded-system device through a USB connection. The embedded-system device receives first command or data from the host through the first serial port. The embedded-system device processes the first command or data.
    Type: Grant
    Filed: September 2, 2016
    Date of Patent: May 14, 2019
    Assignee: AMERICAN MEGATRENDS, INC.
    Inventors: Venkatesan Balakrishnan, Padma Devaraj, Anand Krishnan Vadivelu
  • Patent number: 10262092
    Abstract: A method for determining mismatch variation of circuit components in a circuit is provided. The method includes determining a mismatch contribution for a specification of an integrated circuit design and displaying a list of components in the circuit design sorted according to the mismatch contribution. The method also includes displaying an adjustable scale for a size of the component, modifying the circuit design according to with the size of the component adjusted according to a user input to the adjustable scale, determining an adjusted mismatch contribution of the component, and displaying in the list of components a modified value of the mismatch contribution, and a modified value of an overall standard deviation for the specification in the circuit design.
    Type: Grant
    Filed: May 8, 2017
    Date of Patent: April 16, 2019
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Wangyang Zhang, Hongzhou Liu, Catherine Bunting
  • Patent number: 10263380
    Abstract: Embodiments reduce crosstalk between electrical interconnects by offsetting pairs of electrical interconnects in an electrical system to produce a staggered interconnect pattern for which magnetic flux through a loop formed by a victim interconnect pair is effectively canceled. Magnetic field vectors generated by an aggressor pair of interconnects can pass through a loop-bounded surface defined by a victim pair of interconnects in the system. In the staggered interconnect pattern, the victim interconnect pair is offset with respect to the aggressor interconnect pair so that the field vectors passing through the victim pair's loop-bounded surface in one direction are substantially balanced by the field vectors passing through the victim pair's loop-bounded surface in the opposite direction, thereby minimizing the effect of the aggressor pair's magnetic field on the victim pair. Since crosstalk is proportional to the rate of change of the magnetic flux, reducing the magnetic flux can reduce the crosstalk.
    Type: Grant
    Filed: July 25, 2017
    Date of Patent: April 16, 2019
    Assignee: ORACLE INTERNATIONAL CORPORATION
    Inventor: Darko R. Popovic
  • Patent number: 10247769
    Abstract: Methods and systems for measuring degradation includes measuring an initial electrical characteristic of a test device in a ring oscillator that includes multiple oscillator stages, each having a delay stage and one or more fan-out devices, and a test stage having a delay stage and the test device. The ring oscillator is operated for a period of time. The electrical characteristic of the test device is measured after operating the ring oscillator. A level of degradation in the test device is determined using a processor based on the measurements of the electrical characteristic of the test device.
    Type: Grant
    Filed: September 2, 2015
    Date of Patent: April 2, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Barry P. Linder, Keith A. Jenkins
  • Patent number: 10120037
    Abstract: A power inductor evaluation apparatus includes a storage unit and a determination unit. The storage unit stores the simulation model of a DC-DC converter. The simulation model includes the equivalent circuit model of a power inductor, including a DC superimposition characteristics slope ? and a saturation current Isat as parameters. The determination unit inputs the DC superimposition characteristics slope ? and the saturation current Isat into the simulation model of the DC-DC converter and performs simulation, and determines whether or not the power inductor having the DC superimposition characteristics slope ? and the saturation current Isat is usable on the basis of whether or not the simulation results satisfy design requirements (e.g, a permissible ripple voltage and a peak current).
    Type: Grant
    Filed: January 28, 2016
    Date of Patent: November 6, 2018
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Ko Yamanaga
  • Patent number: 10095640
    Abstract: A computer-implemented method for transferring data over a bus from a host to a device is presented. The method includes determining a size of the data to be transferred, transferring the data using normal mode when the size is below a threshold, and transferring the data using burst mode when the size is equal to or larger than the threshold.
    Type: Grant
    Filed: February 26, 2015
    Date of Patent: October 9, 2018
    Assignee: SYNOPSYS, INC.
    Inventor: Frédéric Dumoulin
  • Patent number: 10095827
    Abstract: A method of making a semiconductor device includes determining a temperature profile for a first die of a three-dimensional integrated circuit (3DIC). The method further includes identifying a plurality of sub-regions of the first die based on the determined temperature profile. The method further includes simulating operation of a circuit in a second die of the 3DIC based on the determined temperature profile and a corresponding sub-region of the plurality of sub-regions. The method further includes manufacturing the semiconductor device.
    Type: Grant
    Filed: April 2, 2018
    Date of Patent: October 9, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Wen Chang, Hui Yu Lee, Ya Yun Liu, Jui-Feng Kuan, Yi-Kan Cheng
  • Patent number: 10083272
    Abstract: Embodiments include methods, design layout optimization systems, and computer program products for optimizing design layout of integrated circuits.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: September 25, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Jason D. Hibbeler, Dongbing Shao, Robert C. Wong
  • Patent number: 10048898
    Abstract: A storage device with a memory may include memory block leveling that improves data retention by considering localized temperature. A block's distance from a heat source may result in variance of data retention. The localized temperature may be used to improve data retention through a relocation, refreshing, or leveling of blocks that considers their physical location on the die and/or in the package.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: August 14, 2018
    Assignee: SanDisk Technologies LLC
    Inventors: Niles Yang, Xinde Hu, Zhenlei Shen
  • Patent number: 10002218
    Abstract: A system includes a formal verification engine running on a host and a protocol checking engine. The formal verification engine automatically generates and formally verifies a reference specification that includes a plurality of extended state tables for an integrated circuit (IC) design protocol of a chip at architectural level. The formal verification engine is further configured to automatically generate a plurality of self-contained services from the plurality of extended state tables. A self-contained service of the plurality of self-contained services is randomly and atomically executable. The self-contained service of the plurality of self-contained services changes responsive to the IC design protocol changing. The protocol checking engine checks and validates completeness and correctness of the self-contained service of the reference specification.
    Type: Grant
    Filed: March 9, 2016
    Date of Patent: June 19, 2018
    Assignee: Cavium, Inc.
    Inventors: Shahid Ikram, Isam Akkawi, Richard Eugene Kessler, James Ellis, David Asher
  • Patent number: 9996641
    Abstract: A thermal simulation device for an integrated circuit according to the disclosure comprises a thermal analysis unit and a mesh size analysis unit. The thermal analysis unit performs a thermal analysis of the integrated circuit to obtain temperatures of the center point and boundary of each function block. The mesh size analysis unit determines the cell number in the mesh of each function block. The thermal analysis unit computes a temperature of the center point and boundary of each cell in every function block according to the temperatures of the boundary of each function block.
    Type: Grant
    Filed: January 8, 2016
    Date of Patent: June 12, 2018
    Assignee: NATIONAL CHENG KUNG UNIVERSITY
    Inventors: Lih-Yih Chiou, Liang-Ying Lu
  • Patent number: 9946626
    Abstract: A runtime of a computer program may be measured by providing the compiled computer program with at least a first watchpoint and a second watchpoint at respective first and second code locations, running the compiled computer program on a computing device, measuring a first time parameter at the first watchpoint and a second time parameter at the second watchpoint, and determining the runtime of at least part of the compiled computer program in terms of the second time parameter and the first time parameter.
    Type: Grant
    Filed: April 22, 2016
    Date of Patent: April 17, 2018
    Assignee: CODESYS Holding GmbH
    Inventors: Wolfgang Haggenmüller, Dieter Hess, Bernhard Werner
  • Patent number: 9934352
    Abstract: A method of making a three-dimensional (3D) integrated circuit (IC) includes performing a series of simulations of operations of a first die of the 3DIC in response to a corresponding series of input vectors and at least one environment temperature. The method also includes adjusting, for at least one simulation in the series of simulations, the at least one environment temperature based on an operational temperature profile of a second die of the 3DIC.
    Type: Grant
    Filed: November 13, 2015
    Date of Patent: April 3, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Wen Chang, Hui Yu Lee, Ya Yun Liu, Jui-Feng Kuan, Yi-Kan Cheng
  • Patent number: 9928326
    Abstract: A system for conducting numerical analysis includes a processor that is configured to define a plurality of components in a circuit and a condition to be analyzed, a module that is configured to perform a table look-up for components of the plurality of components, a module that is configured to acquire a result for the condition to be analyzed based on information in a table in which a component of the plurality of components is defined, and a module that is configured to conduct the analysis of the circuit using the result based on the information in the table for the component.
    Type: Grant
    Filed: March 8, 2017
    Date of Patent: March 27, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Emrah Acar, Rajiv V. Joshi, Tong Li
  • Patent number: 9928327
    Abstract: A method for conducting numerical analysis includes defining a plurality of components in a system and a condition to be analyzed, performing a table look-up for components of the plurality of components, acquiring a result for the condition to be analyzed based on information in a table in which a component of the plurality of components is defined, and conducting the analysis of the system using the result based on the information in the table for the component.
    Type: Grant
    Filed: March 8, 2017
    Date of Patent: March 27, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Emrah Acar, Rajiv V. Joshi, Tong Li
  • Patent number: 9773080
    Abstract: A thermal simulation device is applied to a transaction-level designed chip which includes a plurality of intellectual properties. The thermal simulation device includes a plurality of thermal-aware transaction-level power model circuits, a simulator, a translator and a thermal emulator. The thermal-aware transaction-level power model circuits corresponds to the respective intellectual properties, and are configured to a corresponding power information for each of the intellectual properties, and dynamically adjusts the power information according to temperature information. The simulator is configured to generate the corresponding temperature information of the intellectual properties according to compatible information. The translator is configured to generate the compatible information which is compatible with the simulator. The thermal emulator is configured to trigger the simulator and transmit the temperature information to the intellectual properties.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: September 26, 2017
    Assignee: Industrial Technology Research Institute
    Inventors: Yeong-Jar Chang, Juin-Ming Lu, Liang-Chia Cheng
  • Patent number: 9768767
    Abstract: A technique of reducing leakage energy associated with a post-silicon target circuit is generally described herein. One example method includes purposefully aging a plurality of gates in the target circuit based on a targeted metric including a timing constraint associated with the target circuit.
    Type: Grant
    Filed: October 28, 2016
    Date of Patent: September 19, 2017
    Assignee: EMPIRE TECHNOLOGY DEVELOPMENT LLC
    Inventor: Miodrag Potkonjak
  • Patent number: 9720478
    Abstract: A storage battery monitoring method receives identification information indicating a storage battery system and characteristic data of a storage battery, the characteristic data including history information which indicates charging and discharging history of the storage battery; determines, based on the received history information, a deterioration model corresponding to the storage battery from among deterioration models managed in a database, the deterioration models each indicating a relationship between a state of health and a number of charging and discharging cycles performed by the battery as indicated by the charging and discharging history; generates control data for suppressing deterioration of the storage battery at a predetermined point in time according to the corresponding deterioration model; and transmits the generated control data to cause the storage battery system to control the storage battery.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: August 1, 2017
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventor: Hiroshi Hanafusa
  • Patent number: 9659115
    Abstract: Among other things, one or more systems and techniques for analyzing a tiered semiconductor structure are provided. One or more segments are defined for the tiered semiconductor structure. The one or more segments are iteratively evaluated during electrical simulation while taking into account thermal properties to determine power metrics for the segments. The power metrics are used to determine temperatures generated by integrated circuitry within the segments. Responsive to a segment having a temperature above a temperature threshold, a temperature action plan, such as providing an alert or inserting one or more thermal release structures into the segment, is implemented. In this way, the one or more segments are iteratively evaluated to identify and resolve thermal and reliability issues.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: May 23, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Chih-Liang Chen, Jiann-Tyng Tzeng, Shu-Hui Sung, Charles Chew-Yuen Young
  • Patent number: 9582622
    Abstract: A linear circuit simulator can be supplied with a linear power distribution model of an integrated circuit (IC) and two sets of voltage regulator equivalent resistances. The linear circuit simulator can then be used to calculate two voltages, at a sense point of the IC, corresponding to the two sets of voltage regulator equivalent resistances. The two sets of voltage regulator equivalent resistances and the two voltages at the IC sense point can be used to interpolate a slope of a resistance versus voltage curve of the linear power distribution model. The slope can be used to calculate an updated set of voltage regulator equivalent resistances, which can be used by the linear circuit simulator to calculate a set of performance metrics and an updated voltage at the sense point of the IC.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: February 28, 2017
    Assignee: International Business Machines Corporation
    Inventors: Raju Balasubramanian, Erich C. Schanzenbach, Howard H. Smith, Anurag P. Umbarkar
  • Patent number: 9557764
    Abstract: A clock tree circuit Including a first clock source, generating a first signal, and a first tree circuit. The first clock tree circuit includes a first driving stage for receiving the first signal, a second driving stage, connected to the first driving stage, a third driving stage, connected to the second driving stage, and a metal connection element, coupled between different nodes of the third driving stage and configured as a short-circuited element.
    Type: Grant
    Filed: December 28, 2015
    Date of Patent: January 31, 2017
    Assignee: MEDIATEK INC.
    Inventors: Chen-Feng Chiang, Kai-Hsin Chen, Ming-Shi Liou, Chih-Tsung Yao
  • Patent number: 9471745
    Abstract: A defective integrated circuit (IC) is analyzed to identify a portion of the integrated circuit possibly containing an electrical defect. A computer is used to process the design information of the integrated circuit and to navigate to the physical portion of the integrated circuit where the potential electrical defect might be found. The design information includes information on the layout and the technology used to fabricate the integrated circuit. A three-dimensional view of the portion of the design of the integrated circuit where the electrical defect might be found is rendered, based on the design information for the integrated circuit.
    Type: Grant
    Filed: September 28, 2015
    Date of Patent: October 18, 2016
    Assignee: Synopsys, Inc.
    Inventors: Xi-Wei Lin, Ankush Oberai
  • Patent number: 9449140
    Abstract: Among other things, one or more techniques and systems for performing design layout are provided. An initial design layout is associated with an electrical component, such as a standard cell. A conflict graph is generated based upon the initial design layout. The conflict graph comprises one or more nodes, representing polygons within the initial design layout, connected by one or more edges. A same-process edge specifies that two nodes are to be generated by the same pattern process, while a different-process edge specified that two nodes are to be generated by different pattern processes, such as a mandrel pattern process and a passive fill pattern process. The conflict graph is evaluated to identify a conflict, such as a self-aligned multiple pattering (SAMP) conflict, associated with the initial design layout. The conflict is visually displayed so that the initial design layout can be modified to resolve the conflict.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: September 20, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chin-Chang Hsu, HungLung Lin, Ying-Yu Shen, Wen-Ju Yang, Ken-Hsien Hsieh
  • Patent number: 9438242
    Abstract: A method of making a first timing path includes developing a first design of the first timing path with a first logic circuit and a first functional cell, wherein the first functional cell comprises a first transistor that is spaced from a first well boundary. The timing path is analyzed to determine if the first timing path has positive timing slack. If the analyzed speed of operation shows positive timing slack, the design is changed to a modified design to reduce power consumption of the first timing path by moving the first transistor closer to the first well boundary. Also the first timing path is then built using the modified design to reduce power consumption of the first timing path by reducing leakage power consumption of the first transistor.
    Type: Grant
    Filed: July 12, 2013
    Date of Patent: September 6, 2016
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Anis M. Jarrar, Mark D. Hall, David R. Tipple, Surya Veeraraghavan
  • Patent number: 9436516
    Abstract: A virtual machines management apparatus includes a virtual machine controller, a history storage, and a planning module. The virtual machine controller is configured to migrate virtual machines between plural physical servers. The history storage is configured to store, for each set of first virtual machines that were migrated to a same migration destination physical server parallel in time among the virtual machines migrated, history information. The planning module is configured to determine as to whether it is possible to start migrating a planning target virtual machine to a candidate migration destination physical server at a candidate migration start time based on a residual resource amount of the candidate migration destination physical server, a resource consumption of the planning target virtual machine, a sum of resource consumptions of migration-scheduled virtual machines, and the history information.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: September 6, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yu Kaneko, Shigeo Matsuzawa, Tomonori Maegawa
  • Patent number: 9323870
    Abstract: A method and apparatus generates thermal partitions for metal interconnects of an integrated circuit, based on interconnect self heat data and mutual heat data. Each of the thermal partitions includes data identifying thermally related interconnects and respective temperature values associated with each of the thermally related interconnects. Thermally related partitions that can be computed efficiently and simultaneously and the results then integrated using superposition for the full chips.
    Type: Grant
    Filed: May 1, 2013
    Date of Patent: April 26, 2016
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Rajit C. Chandra
  • Patent number: 9311991
    Abstract: A solid state drive (SSD) with a hybrid storage mode includes a flash memory, and a data processing module in information communication with the flash memory. The flash memory includes a first storage sector that stores data by a first potential storage mode, and a second storage sector that stores data by a second potential storage mode. The first storage sector corresponds to physical block addresses P0 to PM?1 and logical block addresses L0 to LM?1. The second storage sector corresponds to physical block addresses PM to PM+N?1 and logical block addresses LM to LM+1?1. The data processing module has a data processing mode. In the data processing mode, the data processing module identifies the logical block address included in a command, and executes the command at the corresponding physical block address. Accordingly, an SSD having a high stability and a high data storage capacity is provided.
    Type: Grant
    Filed: August 26, 2014
    Date of Patent: April 12, 2016
    Assignee: Apacer Technology Inc.
    Inventors: Yin-Chuan Liao, Hung-Wen Pan
  • Patent number: 9268890
    Abstract: Designing a photonics switching system is provided. A photonic switch diode is designed to attain each performance metric in a plurality of performance metrics associated with a photonic switching system based on a weighted value corresponding to each of the plurality of performance metrics. A switch driver circuit is selected from a plurality of switch driver circuits for the photonic switching system. It is determined whether each performance metric associated with the photonic switching system meets or exceeds a threshold value corresponding to each of the plurality of performance metrics based on the designed photonic switch diode and the selected switch driver circuit. In response to determining that each performance metric associated with the photonic switching system meets or exceeds the threshold value corresponding to each of the performance metrics, the photonic switching system is designed using the designed photonic switch diode and the selected switch driver circuit.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: February 23, 2016
    Assignee: International Business Machines Corporation
    Inventors: Benjamin G. Lee, Jonathan E. Proesel, Alexander V. Rylyakov, Clint L. Schow
  • Patent number: 9256245
    Abstract: A clock tree circuit includes a clock source and a tree circuit. The clock source generates a signal. The tree circuit at least includes five driving units and a metal connection element. A first driving unit has an input terminal for receiving the signal, and an output terminal coupled to a first node. A second driving unit has an input terminal coupled to the first node, and an output terminal coupled to a second node. A third driving unit has an input terminal coupled to the first node, and an output terminal coupled to a third node. A fourth driving unit has an input terminal coupled to the second node. A fifth driving unit has an input terminal coupled to the third node. The metal connection element is coupled between the second node and the third node, and configured as a short-circuited element.
    Type: Grant
    Filed: January 22, 2015
    Date of Patent: February 9, 2016
    Assignee: MEDIATEK INC.
    Inventors: Chen-Feng Chiang, Kai-Hsin Chen, Ming-Shi Liou, Chih-Tsung Yao
  • Patent number: 9235677
    Abstract: The invention provides a thermal uniformity compensating method and apparatus. The steps of the method includes: respectively measuring a plurality of first resistances of a plurality of hot spot patterns of a chip over an hot spot effect, wherein a plurality of pattern densities of the hot spot patterns are different; respectively measuring a plurality of second resistances of each of the hot spot patterns of the chip by a plurality of test keys over the hot spot effect, wherein a plurality of distances between the test keys and the corresponding hot spot pattern are different; establishing a look-up information according to the first and second resistances; analyzing a layout data of the chip for obtaining a pattern density information; and generating a calibrated layout data according to the pattern density information and the look-up information.
    Type: Grant
    Filed: July 17, 2014
    Date of Patent: January 12, 2016
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Ming Chang, Wen-Jung Liao, Chen-Wei Lee, Chun-Liang Hou
  • Patent number: 9213793
    Abstract: One aspect interconnects two regions subject to different rules and using transition rule(s) in a transition region or cost mechanism(s), where these rules may include soft rule(s), hard rule(s), or combinations thereof. These two regions may reside on the same routing layer or on different routing layers. This aspect allows physical design tools to transition across gridded, gridless, tracked, or trackless regions subject to different rules on the same or different layers. Another aspect interconnects an object subject to the first rule(s) and the second rule(s), while the object satisfies or violates the first rule(s). These aspects use spacetile(s) on a spacetile layer as search probe(s) to find viable implementation solutions, although the spacetile(s) and hence the search probe may violate one or more rules. A spacetile layer may be identified or created for each rule and may be associated with relevant features subject to relevant rule(s).
    Type: Grant
    Filed: October 2, 2013
    Date of Patent: December 15, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventor: Jeffrey S. Salowe
  • Patent number: 9172373
    Abstract: Structures, methods, and systems for designing and verifying integrated circuits including redundant logic blocks are provided. An integrated circuit includes selection logic and selectable logic blocks that are individually controllable by the selection logic. The selectable logic blocks include respective instances of a redundant logic block, and respective instances of an interface logic block that selectively disable the redundant logic blocks in the integrated circuit.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: October 27, 2015
    Assignee: GLOBALFOUNDRIES U.S. 2 LLC
    Inventors: Kevin W. Gorman, Steven F. Oakland, Michael R. Ouellette, Steven J. Urish
  • Patent number: 9147027
    Abstract: A defective integrated circuit (IC) is analyzed to identify a portion of the integrated circuit possibly containing an electrical defect. A computer is used to process the design information of the integrated circuit and to navigate to the physical portion of the integrated circuit where potential electrical defect might be found. The design information includes information on the layout and the technology used to fabricate the integrated circuit. A three-dimensional view of the portion of the design of integrated circuit where the electrical defect might be found is rendered, based on the design information for the integrated circuit.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: September 29, 2015
    Assignee: Synopsys, Inc.
    Inventors: Xi-Wei Lin, Ankush Oberai
  • Patent number: 9135143
    Abstract: When compiling high-level, graphical code (e.g. LabVIEW™ code) to a different representation (e.g. different software code or hardware FPGA), information relating to characteristics of the design may be collected/captured from the compilation process, and automatically provided to all the earlier stages of the compilation process to obtain more optimal results. Without automated feedback of this information, users have to manually identify, produce, and provide the feedback information, or forego the process altogether, having to assume that the tool has produced the best possible results when that may not be the case. To correct timing, failed constraints paths may be parsed and compared to delays obtained during a previous compile, and previous adjustments that didn't yield desired results may be undone. The longest delay that didn't result from an undone path may then be identified, and adjusted, and the process may be repeated until all paths are predicted to pass.
    Type: Grant
    Filed: October 8, 2012
    Date of Patent: September 15, 2015
    Assignee: National Instruments Corporation
    Inventors: Jeffrey N. Correll, Dustyn K. Blasig, Newton G. Petersen
  • Patent number: 9092586
    Abstract: A version management system for fluid guard ring (FGR) PCells uses one or more new version management parameters that are added to the FGR PCell definition to manage the source code versions for a PCell. The system saves instance layout information with a version management parameter that identifies the current PCell source code version for each FGR PCell instance. When evaluated using a newer version of the PCell source code, the instance layout information generated with a previous version of PCell source code can be retrieved. The retrieved layout information will be used during evaluation of the PCell to ensure the integrity of the PCell geometries that were previously verified. The saved layout information will be uniquely identifiable with a hash code of the name-value pairs for one or more parameters associated with the PCell instance.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: July 28, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnold Jean-Marie Gustave Ginetti, Jean-Noel Pic, Manav Khanna, Reenee Tayal, Mayank Sharma, Gerard Tarroux
  • Patent number: 9069691
    Abstract: A calculation method executed by a computer, the calculation method includes calculating, using a processor, a length of one side of a second module based on an area of the second module that is included in a first module in a circuit and includes devices; and calculating, using the processor, a length of a wiring of the first module based on the calculated length and the number of fan-outs of the first module.
    Type: Grant
    Filed: January 22, 2013
    Date of Patent: June 30, 2015
    Assignee: FUJITSU LIMITED
    Inventors: Koji Migita, Nobuaki Kawasoe, Akiko Furuya
  • Patent number: 9064070
    Abstract: Disclosed is a simulation method for simulating an operation of a device. The simulation method includes specifying, by a computer, a boundary between a non-defective status and a defective status of a product in design space with a design parameter as an origin. The boundary is specified according to a search using a search indicator defined based on an operating state different from an operating state of a determination indicator that determines the non-defective status and the defective status of the operation.
    Type: Grant
    Filed: February 7, 2011
    Date of Patent: June 23, 2015
    Assignee: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Hiroshi Ikeda, Hidetoshi Matsuoka
  • Patent number: 9058190
    Abstract: A system engram encoding an existing configuration of a target system is received. The existing configuration includes one or more of hardware and software of the target system. The system engram is originally acquired by a first processing device and is received by a second processing device. The second processing device compares the system engram with a product engram encoding a required configuration of the target system for a product to be compatibly installed in relation to the target system. The required configuration includes one or more of required hardware and required software within the target system for the product to be compatibly installed in relation to the target system. The second processing device can output, as compatibility information of the product with the target system, results of comparison of the system engram with the product engram.
    Type: Grant
    Filed: June 25, 2011
    Date of Patent: June 16, 2015
    Assignee: International Business Machines Corporation
    Inventors: Eric V. Kline, Gabriel L. M. Kline
  • Patent number: 9043736
    Abstract: A circuit-design support method includes obtaining information for a circuit having a logic circuit in which signal lines are connected to input terminals, signals of the signal lines being output via the logic circuit; obtaining information concerning a control circuit that has a first flip-flop for scanning and that can control a value of a given signal line by a value set by the first flip-flop; selecting, based on the circuit information, a second flip-flop at an output destination of a signal from the logic circuit, among second flip-flops of the circuit; and generating, based on the control circuit information, information indicating a serial connection of the control circuit between an output source of the signal of the given signal line and the given signal line and a connection of a data input terminal of the first flip-flop and an output terminal of the selected second flip-flop.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: May 26, 2015
    Assignee: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Yukinori Setohara
  • Patent number: 9043739
    Abstract: Methods and systems are described for placing arithmetic operators on a programmable integrated circuit device (e.g., a PLD). Placement of arithmetic operators of a data flow graph in one of multiple regions (e.g., a region of DSP circuitry blocks or a region of logic fabric circuitry) on the programmable integrated circuitry device may be determined (e.g., randomly). A score related to the performance of the graph (e.g., a score related to data flow graph routing delays or area consumed by the data flow graph) may be determined and this process may be repeated after one of the arithmetic operators of the data flow graph is moved. The placement of arithmetic operators that corresponds to the best value for the score related to the performance of the data flow graph may be stored. Accordingly, more arithmetic operators may be included on a programmable integrated device than in conventional devices.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: May 26, 2015
    Assignee: Altera Corporation
    Inventor: Steve Casselman
  • Patent number: 9043738
    Abstract: A datapath extraction tool uses machine-learning models to selectively classify clusters of cells in an integrated circuit design as either datapath logic or non-datapath logic based on cluster features. A support vector machine and a neural network can be used to build compact and run-time efficient models. A cluster is classified as datapath if both the support vector machine and the neural network indicate that it is datapath-like. The cluster features may include automorphism generators for the cell clusters, or physical information based on the cell locations from a previous (e.g., global) placement, such as a ratio of a total cell area for a given cluster to a half-perimeter of a bounding box for the given cluster.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: May 26, 2015
    Assignee: International Business Machines Corporation
    Inventor: Samuel I. Ward
  • Publication number: 20150143311
    Abstract: A method of designing a semiconductor device is performed by at least one processor. In the method, a first environment temperature for a first substrate is determined based on an operational temperature of a second substrate, the first and second substrates stacked one upon another in the semiconductor device. An operation of at least one first circuit element in the first substrate is simulated based on the first environment temperature.
    Type: Application
    Filed: November 15, 2013
    Publication date: May 21, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Wen CHANG, Hui Yu LEE, Ya Yun LIU, Jui-Feng KUAN, Yi-Kan CHENG
  • Publication number: 20150143312
    Abstract: A method of designing patterns of semiconductor devices includes forming a plurality of tiles having patterns on a wafer, measuring the patterns of the plurality of tiles, analyzing the measurements of the patterns and determining a tile having such a size that the measurements linearly vary according to a design size and pattern density, and modifying the pattern density of the determined tile.
    Type: Application
    Filed: August 1, 2014
    Publication date: May 21, 2015
    Inventors: Joong-Won JEON, Ji-Youn SONG, Mun-Su SHIN, Seong-Yul PARK, Suk-Joo LEE
  • Patent number: 9038011
    Abstract: A method, an apparatus, and a computer program product for wireless communication are provided. The apparatus generates a plurality of interconnect patterns for a set of longitudinal channels that are occupied by horizontal interconnects. Each interconnect pattern may be different from the other interconnect patterns. Each interconnect pattern may define relative locations for the set of horizontal interconnects and gap channels. Highest crosstalk is determined for each of the interconnect patterns and the interconnect pattern with the minimum highest crosstalk is selected as a preferred pattern. The highest crosstalk may comprise far-end crosstalk or near-end crosstalk and may be calculated for a range of frequencies or for a plurality of frequencies. The crosstalk may be calculated by modeling the interconnects as transmission lines.
    Type: Grant
    Filed: June 25, 2013
    Date of Patent: May 19, 2015
    Assignee: QUALCOMM Incorporated
    Inventors: Shree Krishna Pandey, Changyu Sun
  • Patent number: 9038007
    Abstract: A method for accurately determining the shape of currents in a current spectrum for a circuit design is provided. The method includes determining timing and power consumption characteristics. In one embodiment, timing characteristics are provided through a electronic design automation tool. The timing characteristics yield a current pulse time width. In another embodiment, power consumption characteristics are provided by an EDA tool. The power consumption characteristics yield a current pulse amplitude. The shape of the current pulse is obtained by incrementally processing a power analyzer tool over relatively small time increments over one or more clock cycles while capturing the switching nodes of a simulation of the circuit design for each time increment. In one embodiment, the time increments are one nanosecond or less.
    Type: Grant
    Filed: February 3, 2012
    Date of Patent: May 19, 2015
    Assignee: Altera Corporation
    Inventors: Peter Boyle, Iliya G. Zamek
  • Patent number: 9038008
    Abstract: A system, method, and computer program product for containing analog verification IP for circuit simulation. Embodiments introduce analog verification units (“vunits”), and corresponding analog verification files to contain them. Vunits allow circuit design verification requirement specification via text file. No editing of netlist files containing design IP is required to implement static and dynamic circuit checks, PSL assertions, clock statements, or legacy assertions. Vunits reference a top-level circuit or subcircuits (by name or by specific instance), and the simulator automatically binds vunit contents appropriately during circuit hierarchy expansion. Vunits may be re-used for other design cells, and may be easily processed by text-based design tools. Vunits may be provided via vunit_include statements in a control netlist file, command line arguments, or by directly placing a vunit block into a netlist.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: May 19, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Donald J. O'Riordan, Jaideep Mukherjee, Richard J. O'Donovan
  • Patent number: 9038010
    Abstract: The present disclosure relates a method of performing a design rule checking (DRC) procedure on a multi-tiered integrated chip. In some embodiments, the method is performed by defining layer databases for a plurality of tiers within a multi-tiered integrated chip. The layer databases respectively identify design layers within an associated tier. A DRC (design rule checking) deck is then generated, which defines one or more individual design layer definitions as a function of a plurality of layer databases, so that the one or more individual design layer definitions are defined for a plurality of tiers. One or more design rules for the one or more individual design layer definitions are defined within the DRC deck. Since the individual design layer definitions are defined as functions of the plurality of layer databases, the design rules apply to the plurality of tiers.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: May 19, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yao-Jen Chuang, Nien-Yu Tsai, Wen-Ju Yang
  • Publication number: 20150135151
    Abstract: Aspects of the disclosed technology relate to techniques for determining canonical forms of layout patterns. Coordinates of vertices of geometric elements in a window of a layout design are first transformed into new coordinates of the vertices, wherein the coordinates of vertices do not comprise clipped coordinates and the transforming comprises: performing a translation on the coordinates of vertices based on differences between maximum and minimum X/Y coordinate values of the vertices. Based on sums of X/Y coordinate values of the new coordinates of the vertices, a canonical form of the geometric elements is determined. The canonical form coordinates of the vertices may then be determined and sorted. The sorted canonical form coordinates may be employed for pattern matching.
    Type: Application
    Filed: November 10, 2014
    Publication date: May 14, 2015
    Inventors: Wu-Tung Cheng, Manish Sharma, Robert Brady Benware, Robert Randal Klingenberg
  • Patent number: 9032344
    Abstract: A plurality of Field Programmable Gate Arrays (FPGA), high performance transceivers, and memory devices provide a verification module for timing and state debugging of electronic circuit designs. Signal value compression circuits and gigabit transceivers embedded in each FPGA increase the fanout of each FPGA. Ethernet communication ports enable remote software debugging of processor instructions.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: May 12, 2015
    Inventor: Mon-Ren Chene