Verification Patents (Class 716/111)
  • Patent number: 8869093
    Abstract: Protocol related data generated by a simulation application are captured. One or more protocol objects are displayed in a window on a display device based on the protocol related data. The protocol objects represent events associated with the interface protocol. In at least some embodiments, a location of the protocol objects on the display device is correlated to a simulation time. In at least some embodiments, protocol-related data are grouped according to an abstraction level of the interface protocol, and the protocol objects are displayed on the display device based on grouping. The protocol objects displayed on the display device can be associated with concurrent, interleaved protocol events, or both.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: October 21, 2014
    Assignee: Synopsys, Inc.
    Inventor: John Elliott
  • Patent number: 8869092
    Abstract: A wiring inspection apparatus includes a dividing unit, a calculating unit, and an output unit. The dividing unit draws a boundary line in a predetermined area between a transmission component and a reception component, to divide the predetermined area into a first area containing the transmission component and a second area containing the reception component. The transmission component transmits a signal to the reception component via relay components. The calculating unit calculates a number of wirings that connect the components across the boundary line, based on positions of the transmission component, the reception component, and the relay components in the predetermined area. The output unit outputs information indicating the presence of a wiring extending in a direction opposite to a direction from the transmission component to the reception component, when the number of the wirings calculated by the calculating unit is equal to or greater than a predetermined value.
    Type: Grant
    Filed: January 3, 2014
    Date of Patent: October 21, 2014
    Assignee: Fujitsu Limited
    Inventors: Akiko Furuya, Nobuaki Kawasoe, Koji Migita, Masato Oota
  • Patent number: 8869084
    Abstract: A method for generating a layout for a cell of an integrated circuit (IC) guided by design rule checking (DRC) is disclosed. In the method, a model is defined, wherein the model comprises a plurality of parameters for generating a layout of the cell. Next an initial layout for the cell can be generated according to an initial set of values for the plurality of parameters. Then design rule checking (DRC) is performed for the initial layout based on a set of design rules. If any violations are found, the corresponding violation reports will be associated with the model. Therefore, a new set of values for the plurality of parameters can be generated by analyzing the violation reports collectively based on the model. With the new set of values for the plurality of parameters and above steps repeated, until no violation is found, a “DRC clean” layout can be generated.
    Type: Grant
    Filed: November 24, 2012
    Date of Patent: October 21, 2014
    Assignees: Synopsys, Inc., Synopsys Taiwan Co., Ltd.
    Inventors: Chien-Fu Chung, Yuan-Kai Pei, Shyh-An Tang
  • Patent number: 8869081
    Abstract: Various embodiments include computer-implemented methods, computer program products and systems for generating an integrated circuit (IC) library for use in a scatterometry analysis. In some cases, approaches include: obtaining chip design data about at least one IC chip; obtaining user input data about the at least one IC chip; and running an IC library defining program using the chip design data in its original format and the user input data in its original format, the running of the IC library defining program including: determining a process variation for the at least one IC chip based upon the chip design data and the user input data; converting the process variation into shape variation data; and providing the shape variation data in a text format to a scatterometry modeling program for use in the scatterometry analysis.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: October 21, 2014
    Assignees: International Business Machines Corporation, Global Foundries, Inc.
    Inventors: Nedal Saleh, Alok Vaid
  • Publication number: 20140310668
    Abstract: To assist verification of a digital circuit design, a data processing system presents, within a graphical user interface of a display device, a presentation including a plurality of verification notifications arising from verification of a digital circuit design. The data processing system detects one or more user operations by which a user interacts with the plurality of verification notifications utilizing one or more user input devices and stores, in a memory, user operation information regarding the one or more user operations detected by the data processing system. The data processing system determines, based on said user operation information, a recommended subsequent user operation and presents, within the graphical user interface, an indication of the recommended subsequent user operation.
    Type: Application
    Filed: February 27, 2014
    Publication date: October 16, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: CARSTEN GREINER, GERRIT KOCH, JUERGEN RUF, KEN WERNER
  • Patent number: 8863044
    Abstract: Candidate layout patterns can be assessed using a sparse pattern dictionary of known design layout patterns by determining sparse coefficients for each candidate pattern, reconstructing the respective candidate pattern, and determining reconstruction error. Any pattern with reconstruction error over a threshold value can be flagged. Compressive sampling can be employed, such as by projecting each candidate pattern onto a random line or a random matrix. The dictionary can be built by determining sparse coefficients of known patterns and respective basis function sets using matching pursuit, variants of SVD, and/or other techniques.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: October 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Nathalie Casati, David L. DeMaris, Frank De Morsier, Virginia Estellers Casas, Maria Gabrani
  • Patent number: 8863056
    Abstract: An integrated design-for-manufacturing (DFM) platform is provided. The integrated DFM platform an automatic warning and verification system; an automatic data feedback and feed forward system; an automatic intellectual property (IP) library management system; and a data management system integrated under a same platform.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: October 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Iyun Kevin Leu, Yun Yong Shen, Greg Chang
  • Patent number: 8863050
    Abstract: In a system, method, and computer program product for analyzing faults in a circuit design, variation of analog fault coverage as a function of bridge resistance values is computed in a single simulation run. A simulator stores intermediate circuit states for each fault resistance value, and performs short interval simulations that may re-use intermediate states as initial solution estimates for simulation of the next fault resistance value. Initial fault resistance values are reduced during simulation passes to aid simulator convergence. The selected evaluation order of test points, faults, and fault resistance values reduces computational and storage costs. Embodiments enable test engineers to rapidly understand if analog defect tests are only sufficient for identifying defects of a certain type and/or value, and to determine fault coverage variability over a full process space.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: October 14, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Donald J. O'Riordan, Victor Zhuk
  • Patent number: 8863054
    Abstract: A circuit verification method for a logic circuit is presented. The method includes developing a first hardware description language (HDL) code representative of the logic circuit and, for an embedded portion of the logic circuit, developing a second HDL code representative of the embedded portion. The second HDL code includes a process of forcing inputs of the embedded portion to one or more known values. The method further includes operating a processing device in conjunction with the first and second HDL codes and verifying operation of the embedded portion in response to forcing the inputs to the logic circuit.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: October 14, 2014
    Assignee: Marvell International, Ltd.
    Inventor: Randall Don Briggs
  • Patent number: 8863057
    Abstract: An approach for methodology enabling a verification of IC designs that compensates for degraded performance due to a physical placement, particularly a stacked physical placement is disclosed. A set of stacked devices from a plurality of devices in an IC design is determined. One or more instance parameters indicating a physical placement of a device in the set is determined. A compensation metric indicating one or more electrical characteristics of a device in the set is determined based on the one or more instance parameters.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: October 14, 2014
    Assignee: GlobalFoundries Inc.
    Inventors: Kaveri Mathur, Sriraaman Sridharan, Ciby Thuruthiyil
  • Patent number: 8863055
    Abstract: A method and apparatus to provide a capacitance to a design an integrated circuit is described. In one embodiment, the method receive a layout of the integrated circuit and applying canonical hierarchical models to the layout, wherein the canonical hierarchical models include a first type canonical model to capture a first capacitance of a device having a plurality of first conductors and a second type canonical model to capture a second capacitance between at least a portion of the device and one or more second conductors of the integrated circuit. The method further includes determining a capacitance for the layout based on the applying.
    Type: Grant
    Filed: August 21, 2013
    Date of Patent: October 14, 2014
    Assignee: Synopsys, Inc.
    Inventors: Arthur Nieuwoudt, Jiyoun Kim, Mathew Koshy, Baribrata Biswas
  • Patent number: 8863059
    Abstract: A method of configuring an integrated circuit device with a user logic design includes analyzing the user logic design to identify timing requirements of paths within the user logic design, determining latency requirements along those paths, routing the user logic design based on availability of storage elements for incorporation into those paths to satisfy the latency requirements, and retiming the user logic design following that routing by incorporating at least some of the storage elements.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: October 14, 2014
    Assignee: Altera Corporation
    Inventors: Ryan Fung, David Lewis, Valavan Manohararajah
  • Publication number: 20140304670
    Abstract: A method includes selecting a process corner, determining model parameters for forming an integrated circuit, and generating a file using the model parameters for the process corner. The generating the file is performed using a computer. The file includes at least two of a first capacitance table, a second capacitance table, and a third capacitance table. The first capacitance table stores greatest parasitic capacitances between layout patterns of the integrated circuit when lithography masks including the layout patterns shift relative to each other. The second capacitance table stores smallest parasitic capacitances between the layout patterns when the lithography masks shift relative to each other. The third capacitance table stores nominal parasitic capacitances between the layout patterns when the lithography masks do not shift relative to each other.
    Type: Application
    Filed: May 29, 2014
    Publication date: October 9, 2014
    Inventors: Ke-Ying Su, Hsiao-Shu Chao, Yi-Kan Cheng
  • Patent number: 8856705
    Abstract: A method can include identifying a device design comprising first and second instantiations of a device, identifying a layer of the device design, identifying a first region of the device design for the first instantiation based on the layer of the first instantiation, and a second region of the device design for the second instantiation based on the layer of the second instantiation. identifying a first compare layer of the device design that comprises a plurality of first compare features including a first compared feature within the first region and a second compared feature within the second region, determining a difference between the first compared feature and the second compared feature, and determining if the difference meets a tolerance to determine if the first instantiation matches the second instantiation.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: October 7, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mehul D. Shroff, Sanjay R. Parihar, Edward O. Travis
  • Patent number: 8856706
    Abstract: A system and methods for metastability verification of an integrated circuit design are provided. An IC design is received and the source-to-destination paths of the IC design are determined. For each of the determined source-to-destination paths, it is determined whether the corresponding source is synchronized. For each source its respective synchronized or unsynchronized result is stored and a report is generated for each source describing whether it is synchronized or unsynchronized. The system may be at least a portion of a computer aided design (CAD) system.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: October 7, 2014
    Assignee: Atrenta, Inc.
    Inventors: Maher Mneimneh, Shaker Sarwary, Paras Mal Jain, Ashish Bansal, Mohammad Movahed-Ezazi, Namit Gupta
  • Patent number: 8856707
    Abstract: A method for verifying that acceptable device feature gradients and device feature disparities are present in a semiconductor device layout, is provided. The method provides for dividing a device layout into a plurality of windows and measuring or otherwise determining the device feature density within each window. The device layout includes various device regions and the method provides for comparing an average device feature density within one region to surrounding areas or other regions and also for determining gradients of device feature densities. The gradients may be monitored from within a particular device region to surrounding regions. Instructions for carrying out the method may be stored on a computer readable storage medium and executed by a processor.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Young-Chow Peng, Chung-Hui Chen, Chien-Hung Chen, Po-Zeng Kang
  • Patent number: 8856710
    Abstract: A method comprises analyzing front side conductive patterns and back side conductive patterns on a semiconductor interposer using a machine implemented RC extraction tool, and outputting data representing a plurality of respective RC nodes from the RC extraction tool to a tangible persistent machine readable storage medium. A substrate mesh model of the semiconductor interposer is generated, having a plurality of substrate mesh nodes. Each substrate mesh node is connected to adjacent ones of the plurality of substrate mesh nodes by respective substrate impedance elements. A set of inputs to a timing analysis tool is formed. The plurality of RC nodes are connected to ones of the plurality of substrate mesh nodes of the substrate mesh model. The set of inputs is stored in a tangible machine readable storage medium.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chao-Yang Yeh, Ze-Ming Wu, Meng-Lin Chung, Chih-Chia Chen, Li-Fu Ding, Sa-Lly Liu
  • Patent number: 8850379
    Abstract: A method of generating an optimized layout of semiconductor components in conformance with a set of design rules includes generating, for a unit cell including one or more semiconductor components, a plurality of configurations each of which satisfies some, but not all, of the design rules. For each configuration, it is checked whether a layout, which is a repeating pattern of the unit cell, satisfies the remaining design rules. Among the configurations which satisfy all of the design rules, the configuration providing an optimal value of a property is selected for generating the optimized layout of the semiconductor components.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hung Chen, Yung-Chow Peng, Chung-Hui Chen, Chih Ming Yang
  • Patent number: 8850367
    Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) design method. The method includes receiving an IC design layout having a first plurality of features defined in a first layer and a second plurality of features defined in a second layer; converting the IC design layout to a topological diagram having nodes, chains and arrows; and identifying alignment conflict based on the topological diagram using rules associated with loop and path count.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Ming Lai, Ken-Hsien Hsieh, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8850376
    Abstract: A computer-readable recording medium having stored therein a program for causing a computer to execute a process for information processing comprising: performing, for a plurality of noise countermeasure design checks for a plurality of nets provided on a substrate, an initial noise countermeasure design check on each of the plurality of nets in an execution order determined, when one of the checks is passed, on the basis of other noise countermeasure design checks that may be skipped; and performing, if it is determined on the basis of at least a check result of a noise countermeasure design check which has been performed immediately before a corresponding check that there is a next noise countermeasure design check that may not be skipped in the execution order, the next noise countermeasure design check for each of the plurality of nets.
    Type: Grant
    Filed: March 25, 2013
    Date of Patent: September 30, 2014
    Assignee: Fujitsu Limited
    Inventors: Tomoyuki Nakao, Yoshiaki Hiratsuka, Keisuke Nakamura, Yoshihiro Sawada, Kenji Nagase
  • Patent number: 8850375
    Abstract: An integrated circuit design method, system and simulator, wherein the integrated circuit design method includes: determining a region in which power supply noise shall be analyzed; determining current model parameters of the region; determining model parameters of a power supply network model; inputting into a simulator a net list; judging whether or not the region satisfies noise requirements of a chip power supply; and if the region satisfies noise requirements of the chip power supply, determining that the initial area is a minimum area that satisfies the noise requirements of the chip power supply in case the initial number of decoupling capacitors are used in the region.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: September 30, 2014
    Assignee: International Business Machines Corporation
    Inventors: Xuan Zou, Hai Tao Han, Wei Liu, Ze Gui Pang, Wen Yin
  • Publication number: 20140289687
    Abstract: A computation unit locates data of a first component in a first circuit, as well as data of a second component in the second circuit. The computation unit then obtains data of a first portion of the first circuit by tracing wiring lines from component to component in the first circuit, with the first component as the start point. Similarly the computation unit obtains data of a second portion of the second circuit by tracing wiring lines from component to component in the second circuit, with the second component as the start point. The computation unit outputs data indicating differences between the first portion and second portion, based on the obtained data of the first portion and the obtained data of the second portion.
    Type: Application
    Filed: February 14, 2014
    Publication date: September 25, 2014
    Applicant: Fujitsu Limited
    Inventor: Yuji BABA
  • Patent number: 8843866
    Abstract: A design support apparatus calculates a crosstalk noise value when a power line does not run parallel, for each of a plurality of sections. Moreover, the design support apparatus calculates a coefficient Fshield that becomes larger with decrease in the area of the power line included in an area between two signal lines based on a relative positional relationship between the two signal lines and the power line in a section, for each of the plurality of sections. Moreover, the design support apparatus corrects the crosstalk noise value corresponding to a section, using the coefficient Fshield corresponding to the section, for each of the plurality of sections. Moreover, the design support apparatus calculates a total of the corrected crosstalk noise values corresponding respectively to the plurality of sections as a crosstalk noise value between the two signal lines.
    Type: Grant
    Filed: September 26, 2013
    Date of Patent: September 23, 2014
    Assignee: Fujitsu Limited
    Inventor: Yoichiro Ishikawa
  • Patent number: 8843867
    Abstract: Roughly described, a system enables quick and accurate depiction to a user of multi-patterning layout violations so that they may be corrected manually and in real time, and without interfering with normal manual editing process. In one embodiment, the system involves iteratively building tree structures with nodes identifying islands and arcs identifying multi-patterning spacing violations between the connected islands. The system detects coloring violations during the building of these tree structures, using the relationships previously inserted. The coloring violations preferably are reported to a user in the form of visual indications of the cycles among the candidate spacing violations, with the candidate spacing violations also themselves indicated visually and individually. The user can see intuitively how to move the islands around, and in which directions and by what distance, in order to remove a multi-patterning spacing violation and thereby break the cycle.
    Type: Grant
    Filed: January 29, 2014
    Date of Patent: September 23, 2014
    Assignee: Synopsys, Inc.
    Inventors: Scott Chase, Zuo Dai, Dick Liu, Ming Su
  • Publication number: 20140282326
    Abstract: Methods for standard cells using finFET standard cell structures with polysilicon on OD edges. Standard cells are defined using finFET transistors and having gate structures forming a transistor at an intersection with a semiconductor fin. Polysilicon dummy structures are formed on the edges of the active areas or OD areas of the standard cells. In a design flow, a pre-layout netlist schematic for the standard cells includes a three terminal MOS device corresponding to the polysilicon dummy structure on the edges of the standard cell. After an automated place and route process forms a device layout using the standard cells, a post layout netlist is extracted. Where two standard cells abut one another, a single polysilicon dummy structure is formed on the common boundary. A layout versus schematic comparison is then performed comparing the pre-layout netlist and the post-layout netlist to verify the layout obtained. Additional methods are disclosed.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Hsin Chen, Kai-Ming Liu
  • Publication number: 20140282299
    Abstract: An approach is provided for enabling simulation of photomask contour shapes, performing verification on the simulated photomask shapes, and correcting errors in OPC correction or bad fracturing methods to perform photomask proximity correction in real time before physically writing of the photomask. Embodiments include performing optical proximity correction of a photomask of a semiconductor layout to generate a corrected photomask, simulating the corrected photomask to generate one or more simulated contour shapes within a simulated photomask, verifying the simulated contour shapes to determine errors associated with the simulated photomask, and correcting the errors in the simulated contour shapes of the simulated photomask to generate a final photomask.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Gek Soon CHUA, Yi Zou, Wei-Long Wang, Byoung IL Choi
  • Publication number: 20140282324
    Abstract: A virtual fabrication environment for semiconductor device structures that includes the use of virtual metrology measurement data to optimize a virtual fabrication sequence is described. Further, calibration of the virtual fabrication environment is performed by comparing virtual metrology data generated from a virtual fabrication run with a subset of measurements performed in a physical fabrication environment. Additionally, virtual experiments conducted in the virtual fabrication environment of the present invention generate multiple device structure models using ranges of process and design parameter variations for an integrated process flow and design space of interest.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: COVENTOR, INC.
    Inventors: Kenneth B. GREINER, Stephen R. BREIT, David M. FRIED, Daniel FAKEN
  • Publication number: 20140282325
    Abstract: Methods for verifying the layout for standard cells using finFET standard cell structures with polysilicon on cell edges. Standard cells are defined using finFET transistors. Polysilicon dummy structures are formed on the edges of the active areas of the standard cells. Where two standard cells abut a single polysilicon dummy structure is formed. In a design flow, a pre-layout netlist schematic for the standard cells is formed that does not include devices corresponding to the polysilicon dummy structures. After an automated place and route process forms a device layout using the standard cells, a post layout netlist schematic is extracted including MOS devices corresponding to the polysilicon dummy structures. A layout versus schematic comparison is then performed, but during the comparison MOS devices corresponding to the polysilicon dummy structures are filtered from the post-layout netlist and are not compared. Additional methods are disclosed.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Hsin Chen, Kai-Ming Liu
  • Publication number: 20140269110
    Abstract: A sensing amplifier for a memory device includes first and second nodes, an input device and an output device. The memory device includes first and second bit lines, and at least one memory cell coupled to the bit lines. The first and second nodes are coupled to the first and second bit lines, respectively. The input device is coupled to the first and second nodes and generates a first current pulling the first node toward a predetermined voltage in response to a first datum read out from the memory cell, and to generate a second current pulling the second node toward the predetermined voltage in response to a second datum read out from the memory cell. The output device is coupled to the first node to output the first or second datum read out from the memory cell. The first current is greater than the second current.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jung-Ping YANG, Chia-En HUANG, Fu-An WU, Chih-Chieh CHIU, Cheng Hung LEE
  • Patent number: 8839179
    Abstract: A test system for testing prototype designs includes a host workstation, multiple interface devices, and multiple prototype boards. The prototype boards include programmable devices which implement one or more partitions of a user design and an associated verification modules. The verification modules probe signals of the partitions and transmit the probed signals to the interface devices. The verification modules can also transmit output signals generated by one or more partitions on the prototype boards to the host workstation via the interface devices, and transmit input signals, which are received from the host workstation via the interface devices, to one or more partitions on the prototype boards.
    Type: Grant
    Filed: April 3, 2013
    Date of Patent: September 16, 2014
    Assignee: Synopsys Taiwan Co., Ltd.
    Inventors: Yingtsai Chang, Sweyyan Shei, Hung-Chun Chiu, Meng-Chyi Lin, Hwa Mao, Ming Yang Wang, Yuchin Hsu
  • Patent number: 8839182
    Abstract: A method for checking signal transmission lines of a printed circuit board (PCB) layout includes determining differential pairs to be checked and dividing the differential pairs to be checked into a first group and a second group. A first reference distance between differential pairs belonging to the same group and a second reference distance between differential pairs belonging to different groups are set. A first box surrounding each line section of one to be checked signal differential line of the first group and a second box surrounding the first box are created. One first box surrounding each line section of the to be checked differential line of the second group is created. Whether or not in the first box and the second box there are differential lines which do not satisfy design standards is determined.
    Type: Grant
    Filed: August 13, 2013
    Date of Patent: September 16, 2014
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Ya-Ling Huang, Chia-Nan Pai, Shou-Kuo Hsu
  • Patent number: 8839169
    Abstract: A method of determining a pattern of a mask to be used in an exposure apparatus. The mask is arranged on an object plane of a projection optical system. The method includes calculating a value of a first evaluation function used to evaluate a cost of drawing a provisional pattern on a mask blank to manufacture the mask, calculating a value of a second evaluation function used to evaluate an image of the provisional pattern, which is formed on an image plane of the projection optical system when a mask having the provisional pattern is arranged on the object plane, and changing the provisional pattern. The calculations are repeated, and the provisional pattern is determined as the pattern of the mask, when the value of the first evaluation function meets a first predetermined standard and the value of the second evaluation function meets a second predetermined standard.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: September 16, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yuichi Gyoda, Koji Mikami
  • Patent number: 8839181
    Abstract: Provided are methods and devices of organizing scan chains in an integrated circuit. One method comprises generating first preference information representing prioritized listing of a plurality of scanning elements for each of a plurality of scan chains based on a first criterion, generating second preference information representing prioritized listing of the plurality of scan chains for each of the plurality of scanning elements based on a second criterion and at a computing device, assigning each of the plurality of the scanning elements to one of the plurality of the scan chains based on the first preference information and the second preference information.
    Type: Grant
    Filed: August 9, 2013
    Date of Patent: September 16, 2014
    Assignee: Synopsys (Shanghai) Co., Ltd.
    Inventors: Bang Liu, Bohai Liu
  • Patent number: 8839173
    Abstract: An ECO hold time fixing method fulfills a short path padding in a placed and routed design by a minimum capacitance insertion. In the method, a padding value determination step receives the placed and routed design and is based on a cell library, timing constraints, and a timing analysis report to determine padding values and locations required for each gate of the placed and routed design to output. A load/buffer allocation step is based on a spare cell information, a dummy metal information, and the padding values and locations to achieve the short path padding in the placed and routed design.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: September 16, 2014
    Assignee: National Chiao Tung University
    Inventors: Hiu-Ru Jiang, Yu-Ming Yang, Sung-Ting Ho
  • Patent number: 8839165
    Abstract: Methods determine temperature and voltage relationships for integrated circuit library elements to produce a continuous temperature-voltage function. Some of the library elements can be used or combined to form an integrated circuit design. Further, the performance characteristics for integrated circuit chips produced according to the integrated circuit design can be defined, such performance characteristics include an operating temperature range, etc. The continuous temperature-voltage function is applied to the performance characteristics to determine a plurality of temperature/voltage combinations for the integrated circuit chips. Each of the temperature/voltage combinations comprises an operating voltage for each operating temperature within the operating temperature range of the integrated circuit chips. Next, the integrated circuit chips are produced according to the integrated circuit design. The temperature/voltage combinations are recorded in memory of the integrated circuit chips.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Eric A. Foreman, Mark W. Kuemerle, Susan K. Lichtensteiger
  • Patent number: 8839180
    Abstract: Embodiments relate to methods, computer systems and computer program products for performing a dielectric reliability assessment for an advanced semiconductor. Embodiments include receiving data associated with a test of a macro of the advanced semiconductor to a point of dielectric breakdown. Embodiments also include scaling the data for the macro down to a reference area and extracting a parameter for a Weibull distribution from the scaled down data for the reference area. Embodiments further include deriving a cluster factor (?) from the scaled down data for the reference area and projecting a failure rate for a larger area of the advanced semiconductor based on the extracted parameter, the cluster factor and the recorded data associated with the dielectric breakdown of the macro.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Baozhen Li, James H. Stathis, Ernest Y. Wu
  • Patent number: 8839166
    Abstract: A method, non-transitory computer readable medium and apparatus for using an out-of-context sub-block in a hierarchical design flow for an integrated circuit are disclosed. For example, the method identifies one or more sub-blocks in the hierarchical design flow that are eligible for creating the out-of-context sub-block, receives a selection of one of the one or more sub-blocks that are eligible and creates the out-of-context sub-block for the one of the one or more sub-blocks that is selected.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: September 16, 2014
    Assignee: Xilinx, Inc.
    Inventors: Sudipto Chakraborty, David A. Knol, Stephen P. Rozum, Ryan A. Linderman, Derrick S. Woods
  • Publication number: 20140258949
    Abstract: A method of designing arrangement of through silicon vias (TSVs) in a stacked semiconductor device is provided The method includes: determining a plurality of TSV candidate grids representing positions, into which the TSVs are insertable, in each of a plurality of semiconductor dies stacked mutually and included in a stacked semiconductor device; creating a plurality of path graphs representing linkable signal paths for a plurality of signals transmitted through the stacked semiconductor device, respectively, based on the TSV candidate grids; determining initial TSV insertion positions corresponding to shortest signal paths for the signals based on the path graphs; and determining final TSV insertion positions by verifying the initial TSV insertion positions so that a plurality of signal networks corresponding to the shortest signal paths for the signals have routability.
    Type: Application
    Filed: March 11, 2014
    Publication date: September 11, 2014
    Applicants: Industry-University Cooperation Foundation Hanyang University, SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myung-Soo JANG, Jae-Rim LEE, Jong-Wha CHONG, Min-Beom KIM, Wen Rui LI, Cheol-Jon JANG
  • Patent number: 8832625
    Abstract: Systems and methods for accommodating correlated parameters in SSTA are provided. The method includes determining a correlation between at least two parameters. The method further includes calculating a new parameter or a new parameter set based on the correlation between the at least two parameters. The method further includes performing the SSTA such that the new parameter or the new parameter set is propagated into the SSTA. The method further includes projecting slack using the correlation between the at least two parameters and using a processor.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Eric A. Foreman, Peter A. Habitz, David J. Hathaway, Jeffrey G. Hemmett, Natesan Venkateswaran, Chandramouli Visweswariah, Vladimir Zolotov
  • Patent number: 8832636
    Abstract: A non-transitory, computer-readable recording medium stores therein a verification support program that causes a computer to execute identifying from a finite state machine model related to a circuit-under-test, an input count of transitions to a transition-end state and an output count of transitions from the transition-end state; determining the transition-end state to be a record/restore subject, if the identified output transition>the identified input transition count; embedding record-instruction information causing the record/restore subject to be recorded to a database, if a first element causing transition to the record/restore subject is included in a first test scenario that is in a test scenario group related to the circuit-under-test; and embedding restore-instruction information causing the record-restore subject to be restored from the database, if a second element causing transition to the record-restore subject is included in a series of elements making up a second test scenario that is in
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: September 9, 2014
    Assignee: Fujitsu Limited
    Inventors: Ryosuke Oishi, David Thach, Yutaka Tamiya
  • Patent number: 8832622
    Abstract: A method includes receiving an output response from a design under test (DUT) in response to an input applied to the DUT according to a test, comparing the output response with a reference response of the test to determine a pass/fail of the DUT for the test, and collecting the test into a verification coverage when the DUT passes the test.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: September 9, 2014
    Assignee: Marvell International Ltd.
    Inventors: Yang Xu, Bharat Baliga-Savel
  • Patent number: 8832626
    Abstract: Methods for allocating spare latch circuits to logic blocks in an integrated circuit design are provided. A method includes determining logic blocks in the design and determining and determining an allocation of spare latch circuits among the logic blocks based on respective attributes of the logic blocks. The method further include placing the spare latch circuits in the design in accordance with the determined allocation based on local clock buffers corresponding with the logic blocks.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mitesh A. Agrawal, Santosh Balasubramanian, Pradeep N. Chatnahalli, Prasad Shivaram
  • Patent number: 8832619
    Abstract: A system and method for designing integrated circuits and predicting current mismatch in a metal oxide semiconductor (MOS) array. A first subset of cells in the MOS array is selected and current measured for each of these cells. Standard deviation of current for each cell in the first subset of cells is determined with respect to current of a reference cell. Standard deviation of local variation can be determined using the determined standard deviation of current for one or more cells in the first subset. Standard deviations of variation induced by, for example, poly density gradient effects, in the x and/or y direction of the array can then be determined and current mismatch for any cell in the array determined therefrom.
    Type: Grant
    Filed: January 28, 2013
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Amit Kundu, Jaw-Juinn Horng, Yung-Chow Peng, Shih-Cheng Yang, Chung-Kai Lin
  • Patent number: 8832635
    Abstract: Aspects of the invention relate to simulation of circuits with repetitive elements. With various implementations of the invention, a circuit design for simulation is analyzed to derive information of memory-circuit device groups that comprise word-line-driven device groups. If the circuit design is hierarchically structured, the circuit design is flattened to device level but keep the memory-circuit device groups intact. The circuit design is then partitioned into a plurality of subcircuits for a simulation. During a transient simulation, whether an instance of a word-line-driven device group is activated is first determined. If activated, whether device model values exist for the word-line-driven device group at a voltage state associated with the activated instance is then determined. If they exist, the device model values are associated with the activated instance. If they do not exist, the device model values are computed for, stored for and associated with the activated instance.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: September 9, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Pole Shang Lin, Kuei Shan Wen, Ruey Kuen Perng
  • Patent number: 8826209
    Abstract: Defect characterization is a useful tool for analyzing and improving fabrication for semiconductor chips. By using layout and netlist in combination with images of semiconductors, defects can be identified and analyzed. Electrical simulation can be performed on the netlist, based on the presence of the defect that was detected. Layout geometries where the defect was detected can be binned and a search can be performed of the remainder of the layout for similar groupings of layout geometries. Various representations of the semiconductor can be cross mapped, including layout, schematic, and netlist. The presence of certain defects can be correlated to yield, performance, and other characteristics.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: September 2, 2014
    Assignee: Synopsys, Inc.
    Inventors: James Robert Kramer, Ankush Oberai
  • Patent number: 8826216
    Abstract: A system and method of operating an integrated circuit (IC) having a fixed layout of one or more blocks having one or more current sources therein that draw electrical current from a power source. The method includes dynamically issuing to a block configured to perform operations responsive to an instruction received at the block, a reserve amount of tokens; determining for each issuance of instruction to the block whether that block's reserve token amount exceeds zero; and one of: issuing the instruction to the block if the token reserve for that block is greater than one, and decrementing, after issuance of the instruction, by one token the block's reserve token amount, or, preventing issuance of an instruction to the block. In the method, each block may be initialized to have: a reserve token amount of zero, a token expiration period; a token generation cycle and a token generation amount.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Patent number: 8826208
    Abstract: Some embodiments include a method for identifying high-temperature regions in a microchip. In some embodiments, the method includes selecting grids on the microchip, wherein each grid includes devices and interconnects connecting the devices. The method can also include determining, for each grid, a temperature factor value based on geometric area of the grid, geometric area occupied by the devices, switching factor of the of the interconnects, and length of the interconnects connecting the devices. The method can also include determining, for each grid, thermal sensitivity for the grid by generating a plot based on a Guassian equation.
    Type: Grant
    Filed: March 27, 2013
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sourav Saha, Sridhar H. Rangarajan, Sumantra Sarkar
  • Patent number: 8826204
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for instance, a circuit description indicative of the layout of signal wires and ground wires in the circuit is received. The signal wires and the ground wires are grouped into at least a first bundle and a second bundle, wherein the first bundle and the second bundle each comprise a respective signal-wire segment and one or more corresponding ground-wire segments. A representative dipole moment is calculated for the first bundle. Using the representative dipole moment, the mutual inductance between the first bundle and the second bundle is calculated. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing design databases created or modified using any of the disclosed techniques are also disclosed.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8824196
    Abstract: A static random access memory (SRAM) includes a column of SRAM memory cells. The SRAM may include a circuit to copy a value stored in any SRAM memory cell in a column of SRAM memory cells to any SRAM memory cell in the column of SRAM memory cells in a single cycle of the SRAM.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Derick G. Behrends, Todd A. Christensen, Travis R. Hebig, Michael Launsbach, Daniel M. Nelson
  • Patent number: 8826203
    Abstract: A system and method for improving and optimizing current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The system and method enables rapid C4 bump current estimation and placement including generating a one-time computed sensitivity matrix that includes all of the contributions of macros (or groups of components) to C4 current. The system and method further enables the calculation of a C4 current changes using the one-time computed sensitivity matrix and redistributed currents due to deletion of one or more C4 connectors. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: John Darringer, Jeonghee Shin