Routing Patents (Class 716/126)
  • Patent number: 8499268
    Abstract: In a method of supporting a layout design, a net list of an integrated circuit is divided into net lists of clock domain circuit aggregations. A timing constraint is generated to each of the clock domain circuit aggregations. An arrangement order of the clock domain circuit aggregations is determined to satisfy the timing constraint. A layout of the integrated circuit is generated by carrying out arrangement and wiring of the clock domain circuit aggregations based on the arrangement order.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: July 30, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Hideyuki Okabe
  • Patent number: 8499271
    Abstract: High density circuit modules are formed by stacking integrated circuit (IC) chips one above another. Unused input/output (I/O) locations on some of the chips can be used to connect other I/O locations, resulting in decreased impedance between the chips. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: August 8, 2012
    Date of Patent: July 30, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Silvestri
  • Publication number: 20130191804
    Abstract: A system and method for balancing the capacitive charge on touch sensor electrodes so that every two adjacent routes have the same capacitance as any other adjacent two routes, wherein routing electrodes are spaced further and further apart, or graduated, as they get longer, to thereby balance the capacitance on the touch sensor electrodes without having to add or subtract an offset from each touch sensor electrode.
    Type: Application
    Filed: January 23, 2013
    Publication date: July 25, 2013
    Applicant: CIRQUE CORPORATION
    Inventor: CIRQUE CORPORATION
  • Patent number: 8495547
    Abstract: An integrated circuit (IC) design with multiple metal layers containing cells requiring secondary power supply. After placing the cells and stripes of a primary power/ground grid in metal layers of the IC design, specific cells are provided with secondary power stripes in a first metal layer. The secondary power stripes are designed in such a way that each secondary power/ground stripe exhibits a full overlap with a stripe of a corresponding primary power/ground grid in a different metal layer. Subsequently, signals from the IC design are routed, and power vias between the primary power/ground grid stripes and the secondary power/ground stripes are generated.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joachim Keinert, Douglass T. Lamb, Peter J. Osler
  • Patent number: 8495549
    Abstract: A method includes connecting in a wiring area a plurality of basic block patterns which include a plurality of track patterns extending to one direction and being disposed at a prescribed pitch in an intersection direction intersecting the one direction to generate a plurality of parallel wiring patterns, each of which includes the track patterns connected together; generating a wiring route running on a track pattern; cutting away a track pattern terminal end, on which no wiring route runs, out of track pattern terminal ends of a track pattern including a route end of the wiring route and an adjacent track pattern connected to a track pattern start end of the track pattern concerned; and generating a wiring pattern data including a block pattern identifier corresponding to a basic block pattern out of the basic block patterns in the wiring area and a layout position of the basic block pattern.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: July 23, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Takashi Maruyama, Shinji Sugatani
  • Patent number: 8484840
    Abstract: When a formed position of a via formed on a board is the same as a position of a footprint of a chip component located on the back surface of the board corresponding to an area on which a BGA is mounted, a board designing apparatus determines that the chip component and the BGA can be connected using chip on hole. When it is determined that the chip component and the BGA can be connected, the board designing apparatus carries out chip on hole by forming a via in an area of the board on which the BGA is mounted, the via leading to the footprint of the chip component located on the back surface of the board.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: July 16, 2013
    Assignee: Fujitsu Limited
    Inventors: Toshiyasu Sakata, Eiichi Konno
  • Patent number: 8490042
    Abstract: One embodiment of the present invention provides a system that concurrently optimizes multiple routing objectives during routing of an integrated circuit (IC) chip design. During operation, the system starts by receiving a routing solution for the IC chip design and a set of routing objectives. The system then partitions the IC chip design into a set of partitions. Next, for each partition in the set of partitions, the system optimizes the routing solution by, iteratively: (1) analyzing the routing solution to determine weights for the set of routing objectives; (2) constructing a cost function based on the weights for the set of routing objectives; and (3) modifying the routing solution within the partition to attempt to optimize the cost function.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: July 16, 2013
    Assignee: Synopsys, Inc.
    Inventor: Tong Gao
  • Publication number: 20130179853
    Abstract: A double-sided integrated circuit chips, methods of fabricating the double-sided integrated circuit chips and design structures for double-sided integrated circuit chips. The method includes removing the backside silicon from two silicon-on-insulator wafers having devices fabricated therein and bonding them back to back utilizing the buried oxide layers. Contacts are then formed in the upper wafer to devices in the lower wafer and wiring levels are formed on the upper wafer. The lower wafer may include wiring levels. The lower wafer may include landing pads for the contacts. Contacts to the silicon layer of the lower wafer may be silicided.
    Type: Application
    Filed: March 4, 2013
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Patent number: 8484594
    Abstract: A method for routing-based pin placement is provided and includes receiving a logical description of a macro of a partitioned circuit with connectivity information and a physical outline, generating an abstracted shape as an abstraction of a generic shape of a pin for providing a connection to the macro in accordance with the connectivity information as a shape conforming to dimensions of the macro, providing a routing tool with freedom to route a net for connection to the pin toward any part of the abstracted shape of the pin to create a routed net and identifying a location where the routed net crosses the physical outline as a chosen location for the pin.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: July 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Dorothy Kucar, Jarrod A. Roy
  • Patent number: 8484600
    Abstract: A computer-readable medium storing a design program causing a computer to execute a process is provided. The process includes virtually routing, when routing of a wire to be connected between a first component and a second component at least one of which includes a swapping pin is being designed, the wire to be connected between a first pin of the first component and a first counterpart pin of the second component such that implementation of an actual routed wire connected therebetween is secured regardless of a net allocated to the swapping pin, and swapping one of the virtually routed first pin and the virtually routed first counterpart pin with the swapping pin such that the net allocated to the swapping pin is identical to a net allocated to the other one of the virtually routed first pin and the virtually routed first counterpart pin.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: July 9, 2013
    Assignee: Fujitsu Limited
    Inventors: Yoshitaka Nishio, Eiichi Konno, Kazunori Kumagai, Motoyuki Tanisho, Toshiyasu Sakata
  • Patent number: 8479137
    Abstract: A congestive placement preventing apparatus for modifying a circuit layout includes an analyzing module, a defining module and an extension module. The analyzing module performs a congestion analysis on the circuit layout to generate an analysis result. The defining module defines a congestion region and a share region adjacent to the congestion region on the circuit layout according to the analysis result. A density of electronic cells of the congestion region is higher than that of electronic cells of the share region. The extension module arranges a plurality of electronic cells in the congestion region to the congestion region and the share region, thereby reducing the density of electronic cells in the congestion region.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: July 2, 2013
    Assignee: MStar Semiconductor, Inc.
    Inventors: Chen-Hsing Lo, Chien-Pang Lu
  • Patent number: 8479141
    Abstract: A method and technique of routing interconnects of an integrated circuit providing improved routing quality. In an embodiment of the invention, the technique provides linear spine interconnect routing. In memory array blocks, such as in DRAM and SRAM memory designs, connected pins are generally separated by large distances in a first direction and small distances in a second direction, or a spine or channel region. A route area is defined within the spine region. In one embodiment, obstacles in the route area are identified and corresponding forbidden areas are demarcated. The linear spine interconnect is routed in the first direction within the route area while avoiding the forbidden areas. Pins are connected to the spine interconnect by stitching interconnects. Stitching interconnects are generally routed in the second direction.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: July 2, 2013
    Assignee: Pulsic Limited
    Inventors: Mark Waller, Tim Parker, Mark Williams, Jeremy Birch, Graham Balsdon, Fumiako Sato
  • Patent number: 8479140
    Abstract: Creating and detecting crossings of conductive traces on different layers of an integrated circuit or a conducting trace over a device contact in a system. Values are stored by a system simulator corresponding to a galvanic potential or same “net”. According to a set of rule based instructions vias are automatically displayed, correct-by-construction, and via connections between the traces, or the trace and device contact, to short circuit the paths. The via structure will not be created if it will short-circuit a conducting trace not associated with the net in question. By connecting traces on different layers using automatically created via structures so as not to short circuit other net traces, errors are eliminated and design cycles reduced when compared to a manual design scheme of inserting via connections. There is an interactive mode which allows the via to be easily resized by the use of familiar control handles.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: July 2, 2013
    Assignee: AWR Corporation
    Inventor: Joseph Edward Pekarek
  • Patent number: 8479139
    Abstract: A system automatically routes interconnect of an integrated circuit design using variable width interconnect lines. For example, a first automatically routed interconnect may have a different width from a second automatically routed interconnect. The system will vary the width of the interconnect lines based on certain factors or criteria. These factors include current or power handling, reliability, electromigration, voltage drops, self-heating, optical proximity effects, or other factors, or combinations of these factors. The system may use a gridded or a gridless (or shape-based) approach.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: July 2, 2013
    Assignee: Pulsic Limited
    Inventors: Graham Baldsdon, Jeremy Birch, Mark Williams, Mark Waller, Tim Parker, Fumiaki Sato
  • Patent number: 8479138
    Abstract: Techniques that can improve the efficiency of routing where connections are subject to elongation constraints. The design can be optimized by estimating elongation needed to meet constraints after an initial routing solution has been generated, but before elongation is actually applied to detailed paths. Paths can be re-routed at this earlier stage if it is determined that too much elongation, or too much elongation in crowded areas, will need to be added after the detail routing stage.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: July 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Randall Scott Lawson, Richard Allen Woodward, Jr., Brett Allen Neal, Ken Wadland
  • Patent number: 8473889
    Abstract: The present invention provides a routing storage structure based on directional grid points and a routing method thereof. The routing storage structure includes a grid matrix having N×M grid points for storing a grid identifier corresponding to each grid point, where both N and M are natural numbers; a grid value acquisition module for acquiring the grid identifier corresponding to the current grid point from the grid matrix during a routing operation; and a grid value setting module for setting the grid points contained by the blocks in the routing plane and/or the grid points that the routing passes through as corresponding grid identifiers in accordance with a predetermined setting rule.
    Type: Grant
    Filed: January 1, 2012
    Date of Patent: June 25, 2013
    Assignee: Delta Electronics (Shanghai) Co., Ltd.
    Inventor: Ji-Yun Xia
  • Patent number: 8473891
    Abstract: An automated system, and method of operating the same, for editing the floorplan, placement, and toplevel wiring routing in a layout of an integrated circuit. Components in the layout of the integrated circuit, such components including functional blocks or subchips, and also wire segments of the toplevel wiring, are associated with horizontal reference frames and vertical reference frames. Each reference frame has its position, in the orthogonal direction, specified by a position of a reference line. The positions of subchips and wire segments within the reference frame are expressed as offsets from the reference line. Movement of components is accomplished by moving the reference frame in the orthogonal direction, and updating the reference line position while maintaining the offset values constant.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: June 25, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Patrick W. Bosshart
  • Patent number: 8468490
    Abstract: In a method for checking layout of a printed circuit board (PCB) using an electronic device, a power line is selected from a layout diagram of the PCB. The method searches for one or more signal lines which are overlapping with the selected power line from the layout diagram of the PCB. The method further locates attribute data of the searched signal lines and the selected power line in the layout diagram of the PCB, and displays the attribute data of the searched signal lines and the selected power line on a display device of the electronic device.
    Type: Grant
    Filed: December 9, 2011
    Date of Patent: June 18, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Dan-Chen Wu, Shou-Kuo Hsu, Cheng-Hsien Lee, Chun-Jen Chen
  • Patent number: 8468487
    Abstract: A method for designing a system on a field programmable gate array (FPGA) includes routing one or more booster wires alongside an interconnect to reduce a delay of a signal being transmitted on the interconnect. According to one aspect of the present invention, the routing of the one or more booster wires is performed in response to determining that a timing requirement of the system has not been met.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: June 18, 2013
    Assignee: Altera Corporation
    Inventors: Zahir Parpia, Chris Wysocki, Vaughn Betz
  • Patent number: 8468488
    Abstract: Methods and software for methods and software for placing and routing a signal path in an integrated circuit layout are disclosed. The signal path generally includes a plurality of cells and combinational paths having at least one net between said cells. The method includes determining whether an adjacent cell can be swapped with a selected cell (e.g., where the selected cell is one of the cells of the signal path and the adjacent cell is adjacent to the selected cell in the layout), determining whether a delay of the signal path decreases after swapping positions of the adjacent cell and the selected cell, and determining whether swapping the adjacent and selected cells causes a timing violation in another signal path of the layout. The present invention advantageously provides an automated method of improving the timing characteristics of poorly performing signal paths, without causing timing violations in other signal paths in the same integrated circuit.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: June 18, 2013
    Assignee: Golden Gate Technology, Inc.
    Inventor: Michael Burstein
  • Patent number: 8468486
    Abstract: An electronic device and method of automatically testing the transmission lines of a PCB. Design requirements of transmission lines are predetermined and a wiring diagram is acquired according to a designated wiring diagram storage path. Some basic parameters of each transmission line of the diagram are applied in excluding one or more transmission lines which may not meet the design requirements, then, the excluded transmission lines are marked and/or highlighted. A report of the transmission lines is generated using a report template.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: June 18, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Hsien-Chuan Liang, Shen-Chun Li, Shin-Ting Yen, Chun-Neng Liao, Shou-Kuo Hsu
  • Patent number: 8464196
    Abstract: A system and method are provided for establishing an automated routing environment in an electronic design automation (EDA) work flow for the routing of a circuit design. A user may merely specify a flow via pattern, a flow via location, and a start and end terminal and thereby, the auto router or path finder will automatically find the least-cost paths between each of the start terminals through at least one intermediate via of the flow via and ending at an end terminal. Upon successful routing of all needed terminals, an at least partially routed circuit design may be output.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: June 11, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Randall Scott Lawson, Sean Bergan, Joseph Dexter Smedley, Paul S. Musto, Brett Allen Neal, Richard Allen Woodward, Jr., Jelena Radumilo-Franklin, Frank Farmar, Gregory M. Horlick
  • Patent number: 8458641
    Abstract: The present invention discloses a method, system, and design structure for making voltage environment consistent for reused sub modules in chip design, wherein each reused sub module is connected to a power grid of the chip through power connection points on a power ring of the sub module, the method including: adjusting numbers and locations of power connection points of a plurality of reused sub modules, such that the numbers of the power connection points and locations of the corresponding power connection points are identical for the plurality of reused sub modules; adjusting power wires of the plurality of reused sub modules on the power grid which are connected the power connection points, such that voltages at the corresponding power connection points are consistent for the plurality of reused sub modules. The present invention may reduce timing variation of reused sub modules in chip design and finally achieve an objective of reducing design complexity and work load and shortening the design period.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventors: Xiao Feng Tang, Chen Xu, Jia Lian Tang, Xia Li
  • Patent number: 8458640
    Abstract: One embodiment of the present invention provides a system that routes connections in an integrated circuit (IC) chip design. The system includes a representation mechanism which is configured to represent routing resources in the IC chip design as a 3-dimensional (3D) grid. This 3D grid further includes: static grid lines which do not change while the system routes the connections; and dynamic grid lines which are created for routing a connection that includes pins which are not located on a static grid line. Note that the dynamic grid lines can be removed after the connection is routed. The system also includes a search engine which is configured to search for a path in the 3D grid between a first set of vertices and a second set of vertices.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: June 4, 2013
    Assignee: Synopsys, Inc.
    Inventor: Tong Gao
  • Patent number: 8453078
    Abstract: Methods are provided for building integrated circuit transformer devices having compact and optimized architectures for use in MMW (millimeter-wave) applications. The integrated circuit transformer devices have universal and scalable architectures that can be used as templates or building blocks for constructing various types of on-chip devices for millimeter-wave applications.
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: David Goren, Ullrich R. Pfeiffer, Benny Sheinman, Shlomo Shlafman
  • Patent number: 8453093
    Abstract: An automated method for aligning a critical datapath in an integrated circuit design inserts an artificial alignment net in the netlist which interconnects all cells in the bit stack of the datapath. The cells are placed using a wirelength optimization which assigns weights to wire sections based on the alignment direction. The rate of change of the alignment weighting value can vary during different stages of global placement. The invention is particularly suited for a force-directed placer which uses a linear system solver to obtain a globally optimum solution for placement of the cells having some overlap among the cells, and thereafter spreads the cells to reduce the overlap. Pseudo nets are also inserted which interconnect a cell and an expected location of the cell after spreading for that iteration.
    Type: Grant
    Filed: October 17, 2011
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Myung-Chul Kim, Natarajan Viswanathan, Samuel I. Ward
  • Patent number: 8453094
    Abstract: A global placement grating (GPG) is defined for a chip level to include a set of parallel and evenly spaced virtual lines. At least one virtual line of the GPG is positioned to intersect each contact that interfaces with the chip level. A number of subgratings are defined. Each subgrating is a set of equally spaced virtual lines of the GPG that supports a common layout shape run length thereon. The layout for the chip level is partitioned into subgrating regions. Each subgrating region has any one of the defined subgratings allocated thereto. Layout shapes placed within a given subgrating region in the chip level are placed in accordance with the subgrating allocated to the given subgrating region. Non-standard layout shape spacings at subgrating region boundaries can be mitigated by layout shape stretching, layout shape insertion, and/or subresolution shape insertion, or can be allowed to exist in the final layout.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: May 28, 2013
    Assignee: Tela Innovations, Inc.
    Inventors: Stephen Kornachuk, Jim Mali, Carole Lambert, Scott T. Becker
  • Publication number: 20130132921
    Abstract: A computer-readable recording medium stores a program that causes a computer to execute a circuit design process. The process includes selecting component data in first board data from among the first board data including first connector component data and second board data including second connector component data that is associated with the first connector component data; setting a connection destination net name of the selected component data to a first vacant terminal of the first connector component data; and setting the connection destination net name of the component data to a second vacant terminal of the second connector component data that corresponds to the first vacant terminal of the first connector data when the component data is moved from the first board data to the second board data.
    Type: Application
    Filed: August 22, 2012
    Publication date: May 23, 2013
    Applicant: FUJITSU LIMITED
    Inventor: Takahiko ORITA
  • Patent number: 8448116
    Abstract: For increasing user control and insight into preparing a mixed-signal semiconductor design specification for simulation, there are provided methods responsive to commands that provide control over resolution of disciplines and partitioning of the design into analog and digital portions. In some aspects, the methods provide block-based assignment of disciplines, as well as design partitioning. In other aspects, the methods provide for resolving a discipline to apply in a block from among multiple possible disciplines. In some aspects, error flagging may be available for detecting disciplines different from what provided for assignment in a block. Assignments may be indicated based on instance, cell, terminal, or library names and may be specified with wild cards. In still other aspects, the methods may be embodied by instructions on computer readable media, and in systems comprising general and special purpose computer hardware that may communicate with various storage facilities and over various networks.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: May 21, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chandrashekar L. Chetput, Abhijeet Kolpekwar, Srinivasan Iyengar
  • Patent number: 8448120
    Abstract: A method includes performing a place and route operation using an electronic design automation tool to generate a preliminary layout for a photomask to be used to form a circuit pattern of a semiconductor device. The place and route operation is constrained by a plurality of single patterning spacer technique (SPST) routing rules. Dummy conductive fill patterns are emulated within the EDA tool using an RC extraction tool to predict locations and sizes of dummy conductive fill patterns to be added to the preliminary layout of the photomask. An RC timing analysis of the circuit pattern is performed within the EDA tool, based on the preliminary layout and the emulated dummy conductive fill patterns.
    Type: Grant
    Filed: May 9, 2011
    Date of Patent: May 21, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-I Huang, Hsiao-Shu Chao, Yi-Kan Cheng
  • Patent number: 8448121
    Abstract: A method, system and computer program product are provided for implementing an enhanced Z-directional macro port assignment or three-dimensional port creation for random logic macros of heterogeneous hierarchical integrated circuit chips. An initial port placement is provided on a layer for a macro. The initial port placement is expanded to provide a three-dimensional port shape including a plurality of metal layers along a z-axis. Wire routing of each of the macro level and a chip top level is defined within the expanded three-dimensional port shape. Each unnecessary metal layer of the expanded three-dimensional port shape is removed, providing a final three-dimensional port shape.
    Type: Grant
    Filed: August 11, 2011
    Date of Patent: May 21, 2013
    Assignee: International Business Machines Corporation
    Inventors: Matthew R. Ellavsky, Sean T. Evans, Timothy D. Helvey, Phillip P. Normand, Jason L. Van Vreede, Bradley C. White
  • Patent number: 8448113
    Abstract: A computer implemented method, system and/or computer program product efficiently manage timing parameters in a circuit. Multiple instances of a definition are implemented onto a circuit. A set of related pins from the multiple instances are defined, and a common assertion value is asserted against all pins in the set of related pins.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: May 21, 2013
    Assignee: International Business Machines Corporation
    Inventors: Michael D. Amundson, Craig M. Darsow
  • Publication number: 20130125078
    Abstract: An automated system, and method of operating the same, for interactively routing interconnections in a layout of an integrated circuit. Interconnections among subchips in the integrated circuit, specified by a netlist, are displayed by the system by way of airlines. The system provides a symbolic view of the bus, showing a representative wire of the bus, such as that associated with the least-significant or most-significant bit position in the bus. The physical routing of the representative wire is interactively defined, using orthogonal wire segments in selected conductor levels. Bus properties, for example including bit pitch, wire pitch, LSB/MSB, and a direction of expansion, are associated with the routing data for each segment of the representative wire. The combination of the routing data and the bus property data enable building of the entire bus from the interactive routing of the representative wire in the symbolic view.
    Type: Application
    Filed: November 15, 2011
    Publication date: May 16, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Patrick W. Bosshart
  • Publication number: 20130125079
    Abstract: A set of metal line structures including a signal transmission metal line and a capacitively-grounded inductively-signal-coupled metal line is embedded in a dielectric material layer. A capacitor is serially connected between the capacitively-grounded inductively-signal-coupled metal line and a local electrical ground, which may be on the input side or on the output side. The set of metal line structures and the capacitor collective provide a frequency dependent inductor. The Q factor of the frequency dependent inductor has multiple peaks that enable the operation of the frequency dependent inductor at multiple frequencies. Multiple capacitively-grounded inductively-signal-coupled metal lines may be provided in the frequency-dependent inductor, each of which is connected to the local electrical ground through a capacitor. By selecting different capacitance values for the capacitors, multiple values of the Q-factor may be obtained in the frequency dependent inductor at different signal frequencies.
    Type: Application
    Filed: January 10, 2013
    Publication date: May 16, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Patent number: 8443344
    Abstract: Approaches for generating a hardware definition from a program specified in a high-level language. In one approach, a first set of blocks of instructions in the high-level language program is identified. Each block in the first set is bounded by a respective loop designation in the high-level language. For each block in the first set, an associated respective second set of one or more blocks of the program is identified. Each block in the second set is outside the block in the first set. A hardware definition of the program is generated and stored. For each block in the first set, the hardware definition specifies power-reducing circuitry for one or more blocks in the associated second set. The power-reducing circuitry is controlled based on a status indication from the hardware definition of the block in the first set.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: May 14, 2013
    Assignee: Xilinx, Inc.
    Inventors: Prasanna Sundararajan, Tim Tuan
  • Patent number: 8443326
    Abstract: A method for reordering scan chain segments of scan chains in an electronic circuit design includes identifying congestion areas on a congestion map. A routing preference for each congestion area is determined. Scan cells associated with each congestion area are formed into the scan chain segments and then the scan chain segments are re-ordered based on the routing preference of the corresponding congestion area.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: May 14, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vishal Gupta, Sarvesh Verma
  • Patent number: 8443324
    Abstract: A method, system, and computer program product for improved routing using layer ranges in the design of an integrated circuit (IC) are provided in the illustrative embodiments. Using an application executing in a data processing system, a score is computed for a net in a set of nets routed using a set of layers in the design. The set of nets is sorted according to scores associated with nets in the set of nets. A layer range from a set of layer ranges is assigned to a net in the sorted list such that a net with a higher than threshold score is assigned a high layer range.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: May 14, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Shiyan Hu, Zhuo Li, Chin Ngai Sze
  • Patent number: 8438529
    Abstract: A computer-based method and a computing device for checking signal transmission lines of a printed circuit board (PCB) layout are provided. The computing device identifies differential pairs in a currently run PCB layout according to an information file for the currently run PCB layout, checks whether any signal transmission line is routed between switching vias of each differential pair according to the information file for the currently run PCB layout, and displays a routing error window to display information of each misrouted signal transmission line.
    Type: Grant
    Filed: August 15, 2012
    Date of Patent: May 7, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Ya-Ling Huang, Ling-Ling Shen, Chia-Nan Pai, Shou-Kuo Hsu
  • Patent number: 8438524
    Abstract: An interface object library tool for manipulating interface objects for a printed circuit board (PCB) tool is disclosed. The interface object library tool includes a hierarchical interface display module, an input module, and a store. The hierarchical interface display module is configured to display an interrelation between a plurality of interface objects and a plurality of groups each including a plurality of signal, power and ground lines. The plurality of interface objects are configured to be associated with a plurality of block objects to define a plurality of component objects. The input module is configured to: accept association of the plurality of groups and the plurality of signal, power and ground lines without defining pin or pad assignments; and accept association between the plurality of interface objects and a plurality of groups.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vikas Kohli, Steven R. Durrill
  • Patent number: 8438525
    Abstract: The present invention introduces methods, systems, and architectures for routing clock signals in an integrated circuit layout. The introduced clock signal clock signal structures are rendered with non Manhattan routing. In a first embodiment, the traditional recursive H clock signal structure is rendered after transforming the coordinates system such that a rotated recursive H clock signal structure is rendered. In another embodiment, a recursive Y structure is used to create a clock signal structure. The recursive Y structure may also be implemented in a rotated alignment. For clock signal redundancy, non Manhattan wiring may be used to create a clock signal mesh network.
    Type: Grant
    Filed: December 21, 2009
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Steven Teig, Raghu Chalasani, Akira Fujimura
  • Patent number: 8434035
    Abstract: Methods and apparatuses are disclosed for generating a placed, routed, and optimized circuit design. Other embodiments are a circuit design and circuit created with the technology. The placed, routed, and optimized circuit design obeys relative positioning rules of a set of the circuit elements. Such relative positioning rules were created specifically for these circuit elements.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: April 30, 2013
    Assignee: Synopsys, Inc.
    Inventor: Anand Arunachalam
  • Patent number: 8434037
    Abstract: A method and system for sub-circuit pattern recognition in integrated circuit design is disclosed. In one embodiment, a method for recognizing a pattern circuit in a target circuit, includes encoding the pattern circuit and the target circuit by processing a first netlist of the pattern circuit and a second netlist of the target circuit, generating a cross-linked data structure based on attributes and connectivity information of at least two devices and at least one net from the first netlist, and identifying an instance of the pattern circuit in the target circuit based on an associative mapping between the pattern circuit and a sub-circuit of the target circuit using a device integer array and a net integer array. Each of the first netlist and the second netlist is based on the at least two devices and the at least one net connecting the at least two devices.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: April 30, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Sandeep Shylaja Krishnan
  • Patent number: 8429589
    Abstract: A method of generating net routing constraints for nets of an IC design includes generating a file with hashes organized by nets. Each hash has attributes of a net, e.g. net name, length, fanout, total actual route (AR) resistance, a corresponding virtual route (VR) resistance, and a number of vias. A wire only AR resistance for each net can be calculated. Wire scaling factors can be calculated using the wire only AR resistances and their corresponding VR resistances. Wire scaling factors can be binned by one or more net characteristics. An average wire scaling factor can be calculated for each bin. Code used by a place and route tool can then be generated, wherein the code applies the average wire scaling factors to nets of the design to improve pre-route and post-route correlation.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: April 23, 2013
    Assignee: Synopsys, Inc.
    Inventors: Kevin Croysdale, Jason Upton
  • Patent number: 8429583
    Abstract: Methods and apparatuses to hierarchically retime a circuit. In at least one embodiment of the present invention, a module of a circuit is designed with a plurality of different latencies to have a plurality of different minimum clock periods (e.g., through retiming at the module level). In one example, the minimum clock periods are determined from detailed timing analyses after the placement and routing for the module; and, in retiming the circuit that contains the module, a data flow graph representation of the module is constructed based on the target clock period of the circuit and the correlation between the latencies and the minimum clock periods. In at least one embodiment of the present invention, hierarchical retiming is performed in which portions of the circuit is retimed to generate results (e.g., for different latencies), which are selectively used for the retiming of the entire circuit based on the target clock period.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: April 23, 2013
    Assignee: Synopsys, Inc.
    Inventor: Levent Oktem
  • Patent number: 8429584
    Abstract: A method for creating a layout for design representation of an electronic circuit with at least one port. The method includes segmenting the at least one port in the design representation into different regions, classifying the different regions of the at least one port according to timing and/or electronic and/or layout characteristics, assigning a priority for each classified region of the at least one port according to rules based on the timing and/or electronic and/or layout characteristics, and routing the design representation by accessing at least one of the classified regions of the port according to an order of the assigned priorities.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: April 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joachim Keinert, Thomas Ludwig
  • Patent number: 8429585
    Abstract: A three-dimensional semiconductor device, comprising: a circuit block located in a first module layer; and a configuration circuit to control the circuit block further comprising a configurable element in a second module layer positioned above the first module layer.
    Type: Grant
    Filed: February 27, 2006
    Date of Patent: April 23, 2013
    Inventor: Raminda Udaya Madurawe
  • Publication number: 20130095650
    Abstract: Waffle transistors are used within an integrated circuit when a transistor must carry an amount of current greater than the amount of current carried by a typical transistor in the integrated circuit. In a waffle transistor a set of source areas and drain areas are arranged in a checkerboard pattern. The source areas must all be connected together and the drain areas must all be connected together. To efficiently connect the source (or drain) areas together, a serpentine metal interconnect pattern is used. The serpentine pattern reduces the amount of metal required outside of the array. The serpentine pattern may be improved with offset contacts in the source and drain areas that cause the serpentine metal interconnects to be straighter.
    Type: Application
    Filed: October 14, 2011
    Publication date: April 18, 2013
    Applicant: NEOFOCAL SYSTEMS, INC.
    Inventor: Tsutomu Shimomura
  • Patent number: 8423948
    Abstract: A device includes a definition unit which defines a directional graph having a grid point as a node and a line connecting adjacent grid points as a branch, a generation unit which sets a branch connecting a grid pointing a wiring prohibited area in the branches of the directional graph to the capacity of “0”, and which sets another branch to the capacity of “1”, and which connects the starting point or the end point to each grid point of the wiring terminal indicated by wiring information, thereby generating a flow network, a search unit which searches the flow network for a path of a flow having the maximum amount of flow from the starting point to the end point, and a determination unit which determines a wiring path connecting the grid point indicated by the wiring information according to the search result of the path.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: April 16, 2013
    Assignee: Fujitsu Limited
    Inventors: Kazunori Kumagai, Toshiyasu Sakata, Eiichi Konno
  • Patent number: 8423940
    Abstract: A computerized method, data processing system and computer program product reduce noise for a buffered design of an electronic circuit which was already placed and routed. For all areas between a power stripe and a ground stripe (half bay) in the design, the shapes are divided in different criticality levels. The shapes are rearranged based on their criticality level such that shapes with higher criticality level are placed closer to the stripes than those with lower criticality level.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Lukas Daellenbach, Elmar Gaugler, Wilhelm Haller, Ralf Richter
  • Patent number: 8423946
    Abstract: Circuits, architectures, a system and methods for providing multiple power rails to a plurality of standard cells in a region of an integrated circuit. The circuitry generally includes a plurality of cells configured for connection to a first or second power rail, the first power rail providing a first voltage to at least one of the plurality of cells, and the second power rail providing a second voltage (which may be independent from the first voltage) to remaining cells in the plurality of cells. The method generally includes routing, in an IC layout, a first power rail providing a first voltage and a second power rail providing a second voltage, placing the plurality of cells, and selectively connecting first and second subsets of the plurality of cells to the first and second power rails, respectively. The present invention further advantageously minimizes regional layout design considerations and time delays.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: April 16, 2013
    Assignee: Marvell International Ltd.
    Inventors: Jianwen Jin, Eugene Ye