Routing Patents (Class 716/126)
  • Patent number: 8667446
    Abstract: Exemplary impedance extraction methods, systems, and apparatus are described herein. In one exemplary embodiment, for instance, a signal-wire segment of a circuit layout is selected. A predetermined number of return paths are identified for the selected signal-wire segment. The selected signal-wire segment and the identified return paths are further segmented into a plurality of bundles, which comprise signal-wire subsegments and one or more associated return-path subsegments that are parallel to and have the same length as the signal-wire subsegments. Loop inductance values and loop resistance values are determined and stored for the signal-wire subsegments in the bundles for at least one frequency of operation. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing data or information created or modified using any of the disclosed techniques are also disclosed.
    Type: Grant
    Filed: March 29, 2010
    Date of Patent: March 4, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Shrinath Thelapurath, Salvador Ortiz, Dusan Petranovic
  • Patent number: 8661394
    Abstract: A method of creating logic chains in a Boolean network of a reconfigurable fabric is provided. The method includes creating a plurality of logic chains in the reconfigurable fabric. The plurality of logic chains include at least one arithmetic logic chain and at least one non-arithmetic logic chain. A method of creating logic chains in a Boolean network of a look-up table based FPGA includes: applying a labeling method by (a) finding a depth increasing node, (b) isolating the depth increasing node, and (c) finding minimum height cuts; mapping to generate a mapping solution using the minimum height cuts; applying a duplication method to implement an exclusivity constraint; and arranging connections in the look-up table based FPGA using the logic chains.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: February 25, 2014
    Assignee: Iowa State University Research Foundation, Inc.
    Inventors: Michael T. Frederick, Arun K. Somani
  • Patent number: 8661395
    Abstract: A method of inserting dummy metal and dummy via in an integrated circuit design. The method includes inserting, by a computer, dummy metals using a place and route tool, wherein the place and route tool has timing-awareness to improve a timing performance of the integrated circuit design. The method further includes inserting, by the computer, dummy vias using a design-rule-checking utility separately from the inserting of the dummy metals, wherein at least one of the dummy vias has a different size than at least another of the dummy vias.
    Type: Grant
    Filed: October 5, 2012
    Date of Patent: February 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Yi Liu, Chung-Hsing Wang, Chih-Chieh Chen, Jian-Yi Li
  • Patent number: 8650516
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: February 11, 2014
    Inventor: Lisa G. McIlrath
  • Patent number: 8650515
    Abstract: Systems and methods for validating a circuit design are described. The circuit validation includes determining a subset of checks to apply to a portion of the overall circuit based on the pin type composition of the circuit portion.
    Type: Grant
    Filed: August 17, 2012
    Date of Patent: February 11, 2014
    Assignee: Valydate, Inc.
    Inventors: Michael Alam, Peter Campbell, Mark Cianfaglione
  • Patent number: 8650528
    Abstract: It is possible to improve workability of a design work by handling electric information between one object and other objects associated with the object. A plurality of objects are inputted and electric information is inputted to the objects. Among the objects, mutually connected objects are virtually made into a single object. According to electric information in each of the objects, i.e., the virtually unified single object and the other objects excluding the virtually unified single object, objects having the common electric information are connected by straight lines. According to the straight lines, a figure connecting the objects is inputted. A condition for connecting the objects in the figure is inputted. According to the condition, circuit parts are built and signal information is set in a terminal of the circuit parts.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: February 11, 2014
    Assignee: Zuken Inc.
    Inventor: Satoshi Nakamura
  • Patent number: 8650526
    Abstract: In a method for creating an equivalent circuit for a three-terminal capacitor including first, second, third and fourth electrodes, a first capacitor conductor connected between the first and second electrodes, and a second capacitor conductor connected between the third and fourth electrodes, the equivalent circuit includes a first line connecting the first electrode to the second electrode; a second line connecting the third electrode to the fourth electrode; a third line that includes a first capacitor component and that connects the first line to the second line; a first circuit component including a first inductor component and a first resistor component provided between a connection portion between the second line and the third line and the third electrode; and a second circuit component including a second inductor component and a second resistor component provided between the connection portion and the fourth electrode.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: February 11, 2014
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Haruhiko Ueno, Haruki Ando
  • Patent number: 8645891
    Abstract: It is an object to generate wiring data while controlling generation of omission of wiring and shortening process time. In order to achieve this object, a device for generating wiring data includes: an error acquiring part that acquires a configuration error of the semiconductor chip relative to a certain reference position and a certain reference angle on the substrate; an area information acquiring part that acquires enclosing area information indicating an enclosing area enclosing the semiconductor chip on the substrate; and a wiring data generating part that generates enclosing area wiring data indicating an enclosing area wiring pattern based on a reference fan-out line established for a reference chip free from a configuration error and being a part of a reference wiring pattern free from faulty wiring. The enclosing area wiring pattern is a part of the connection wiring pattern and covers the enclosing area.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 4, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kiyoshi Kitamura, Kazuhiro Nakai
  • Patent number: 8645900
    Abstract: The invention relates to a method for influencing the selection of a type and form of a circuit implementation in at least one layer in a given integration task for at least one integrated circuit in a wafer composite, a module on a 2-dimensional carrier substrate, or a compact module. In one embodiment, a plurality of electric or electronic components are spatially arranged and to be electrically connected. Completed solutions x are stored in a database, and each of the completed solutions includes properties for the given integration task. The completed solutions define a destination space from which a solution is selectable by operating elements and determines a type and form of circuit implementation as a result of the given integration task, and aggregates the plurality of electric and electronic components in one of a plurality of integration technologies.
    Type: Grant
    Filed: May 7, 2008
    Date of Patent: February 4, 2014
    Assignees: Fraunhoffer-Gesellschaft zur Foerderung der Angewandten Forschung E.V., Technische Universitaet Berlin
    Inventors: Michael Schroeder, Karl-Heinz Kuefer, Dmitry-David Polityko
  • Patent number: 8645890
    Abstract: Some embodiments provide a method that defines a set of connections that connect the nodes in a configurable node array. The method identifies different sets of connections for connecting a set of the nodes. For each identified set of connections, the method computes a metric score that quantifies a quality of the identified set of connections. The method then selects one of the identified sets of connections to connect the configurable nodes in the array.
    Type: Grant
    Filed: September 15, 2012
    Date of Patent: February 4, 2014
    Assignee: Tabula, Inc.
    Inventors: Andre Rohe, Steven Teig
  • Publication number: 20140033158
    Abstract: A computer implemented method for routing a net includes selecting, using one or more computer systems, a first spine routing track from a first multitude of routing tracks in accordance with a first cost function, and further in accordance with data associated with the net and the first multitude of routing tracks. The method further includes generating, using one or more computer systems, a first spine wire on the selected first spine routing track.
    Type: Application
    Filed: October 1, 2013
    Publication date: January 30, 2014
    Applicants: Synopsys, Inc., Synopsys Taiwan Co., Ltd.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Publication number: 20140033155
    Abstract: Provided are systems and methods for generating a higher level description of a circuit design comprising a plurality of interface instances. One or more buckets for each source instance with respect to each destination instance included in the circuit design are generated, and then the one or more buckets are sorted based on a number of bucket entries in each bucket. One or more interface instances are generated based on the sorted buckets. The higher level description of the circuit design is generated based on the one or more interface instances.
    Type: Application
    Filed: November 21, 2012
    Publication date: January 30, 2014
    Applicant: ATRENTA, INC.
    Inventors: Anshuman NAYAK, Samantak CHAKRABARTI, Brijesh AGRAWAL, Chandrakanti Vamshi KRISHNA
  • Patent number: 8640072
    Abstract: A method includes forming a connection between a first metal layer and a second metal layer. The second metal layer is over the first metal layer. A via location for a first via between the first metal layer and the second metal layer is identified. Additional locations for first additional vias are determined. The first additional vias are determined to be necessary for stress migration issues. Additional locations necessary for second additional vias are determined. The second additional vias are determined to be necessary for electromigration issues. The first via and the one of the group consisting of (i) the first additional vias and second additional vias (ii) the first additional vias plus a number of vias sufficient for electromigration issues taking into account that the first additional vias, after taking into account the stress migration issues, still have an effective via number greater than zero.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: January 28, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Douglas M. Reber, Mehul D. Shroff, Edward O. Travis
  • Patent number: 8640071
    Abstract: A circuit design system 10 includes storage means 11 to store structure description information 11a of a reconfigurable circuit including an array of cells 1 including a plurality of switches 2, and application circuit netlist information 11b used to specify an application, circuit generation unit 12a to generate structure description information 11a based on the structure description information 11a and the application circuit netlist information 11b stored in the storage means 11, and circuit evaluation unit 12b to evaluate the structure description information 11a generated by the circuit generation unit 12a, wherein the circuit generation unit 12a generates the structure description information 11a by deleting at least one of the switches 2 from the structure description information 11a based on an evaluation result obtained by the circuit evaluation unit 12b.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: January 28, 2014
    Assignee: NEC Corporation
    Inventor: Shogo Nakaya
  • Patent number: 8635577
    Abstract: A design tool can automatically improve timing of nets of a fully routed physical design solution. Nets of a netlist are evaluated against a plurality of re-routing criteria to identify the nets that satisfy at least one of the plurality of re-routing criteria. For each of the nets that satisfy at least one of the plurality of re-routing criteria: several operations are performed. The net is globally re-routed to determine a new global route for the net. Those of the nets that are within a given distance of the new global route are identified. The net is detail re-routed in accordance with the new global route without regard to those of the nets within the given distance of the new global route. Those of the nets within the given distance of the new global route are re-routed after completion of the detailed re-routing of the net.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael Anthony Kazda, Zhuo Li, Gi-Joon Nam, Ying Zhou
  • Patent number: 8635575
    Abstract: Improving semiconductor chip yield and reliability by connecting adjacent metal traces that are on a same network with metal shorts. This reduces and/or eliminates the need for redundant vias formerly employed in semiconductor chip design. Additionally, the metal shorts are placed in conformance with one or more pre-determined design rules. Once placed, the metal shorts are checked to ensure that each metal short connects groundrule clean, thereby ensuring the placement is correct-by-construction.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventor: Henry A. Bonges, III
  • Patent number: 8635572
    Abstract: Circuits, architectures, a system and methods for providing multiple power rails to a plurality of standard cells in a region of an integrated circuit. The circuitry generally includes a plurality of cells configured for connection to a first or second power rail, the first power rail providing a first voltage to at least one of the plurality of cells, and the second power rail providing a second voltage (which may be independent from the first voltage) to remaining cells in the plurality of cells. The method generally includes routing, in an IC layout, a first power rail providing a first voltage and a second power rail providing a second voltage, placing the plurality of cells, and selectively connecting first and second subsets of the plurality of cells to the first and second power rails, respectively. The present invention further advantageously minimizes regional layout design considerations and time delays.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: January 21, 2014
    Assignee: Marvell International Ltd.
    Inventors: Jianwen Jin, Eugene Ye
  • Patent number: 8635576
    Abstract: A method for the creation of rectilinear Steiner minimum trees includes determining a set of candidate connections from a terminal node to a different terminal node or to a graph edge. The length of each candidate connection may be used to determine the set of candidate connections that span the graph with a minimum total length.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: January 21, 2014
    Assignee: Oracle International Corporation
    Inventors: Min Zhao, Jingyan Zuo, Yu-Yen Mo
  • Patent number: 8631379
    Abstract: Various embodiments of the invention provide techniques to ensure a layout for an integrated circuit is split-able. In a method embodiment, a layout is generated in a customer site having a layout library as inputs wherein the library provides exemplary layouts that have been verified to be spit-able and that can be used and layouts that can cause conflicts to avoid. A real-time odd cycle checker is also provided in which the checker identifies in real time conflict areas and odd cycles as they arise during layout generation. To reduce memory usage layouts of various devices may be separated so that each individual layout or a small number of layouts, rather than a large layout for the whole application circuit, can be checked against conflicts. Once the layout is ready at the customer site, it is sent to the foundry site to be decomposed into two masks and taped-out. Other embodiments are also disclosed.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pi-Tsung Chen, Ming-Hui Chih, Ken-Hsien Hsieh, Wei-Long Wang, Wen-Chun Huang, Ru-Gun Liu, Tsai-Sheng Gau, Wen-Ju Yang, Gwan Sin Chang, Yung-Sung Yen
  • Patent number: 8631375
    Abstract: Solutions for efficiently implementing a via into a multi-level integrated circuit layout are disclosed. In various embodiments, a method of creating a multi-level integrated circuit layout with at least one via is disclosed, the method including: providing at least two layers of the multi-level integrated circuit layout; and selecting a via for connecting the at least two layers, wherein the selecting includes retrieving the via from a via library including a plurality of via types, the plurality of via types prioritized in the via library according to a predicted manufacturing yield for each of the plurality of vias.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: January 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Robert R. Arelt, Jeanne P. S. Bickford, Andrew D. Huber, Gustavo E. Tellez, Karl W. Vinson, Tina Wagner
  • Patent number: 8631370
    Abstract: In an embodiment, a list of ports and a physical location of the ports specified in a circuit design is created. Physically adjacent port pairs are determined within the list of the ports that are physically adjacent. For each respective physically adjacent port pair, the following elements are performed: calculating a timing window overlap for a current port and a next port in the respective physically adjacent port pair, computing a timing window overlap for the current port and each following port that is within a predetermined physical distance, and if the timing window overlap between the respective physically adjacent port pair is not smaller than the timing window overlap for the current port and each following port, swapping a physical location of the adjacent port with a physical location of the following port that has a smallest timing window overlap with the current port.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: January 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Samuel R. Benjamin, Timothy D. Helvey
  • Patent number: 8627256
    Abstract: A method of determining signal routing in an integrated circuit includes providing first coordinates of an input/output cell and second coordinates of an input/output pad to a parametric routing module. The parametric routing module receives at least one wire path parameter. The parametric routing module uses the at least one connection path parameter to determine a physical dimension of a wire path between the first coordinates and the second coordinates.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: January 7, 2014
    Assignee: LSI Corporation
    Inventor: Donald E. Hawk
  • Patent number: 8627252
    Abstract: A system and method for selectively replacing standard threshold voltage devices with low threshold voltage devices in a digital logic design. The system identifies at least one path having a first timing value, the path having a plurality of standard threshold devices. The path is reverse traversed, or otherwise analyzed or traversed, to identify at least one of the standard threshold devices to possibly replace with a corresponding low threshold device. The system also determines a timing value for the path associated with replacing the at least one standard threshold device with the corresponding low threshold device. Depending the analysis, the standard threshold device may be replaced with a low threshold device, such as when the path timing improves by replacement. Such replacement may be used in various paths, such as paths considered critical paths in a digital logic design.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: January 7, 2014
    Assignee: Oracle America, Inc.
    Inventor: Le Tu Quach
  • Patent number: 8627255
    Abstract: Methods and systems for flexible and repeatable pre-route generation are described. In one embodiment, a routing selection is received. The routing selection is for a path between at least a first cell and a second cell. The first and second cell are associated with a functional description of an integrated circuit. A floorplan associated with the functional description is modified to create a modified floorplan. The modified floorplan has a physical design change relative to the floorplan. A pre-route is automatically generated based on receipt of the routing selection and the modified floorplan. The pre-route is added to a physical design of the chip to create a pre-routed physical design. Additional methods and systems are disclosed.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: January 7, 2014
    Assignee: Oracle International Corporation
    Inventors: Kiran Vedantam, James G. Ballard, Miao Rao, Guneet Singh, Wanyun Singh
  • Patent number: 8627264
    Abstract: In an example embodiment, an EDA application creates a physical PCell from a CAD database that relates the physical PCell to a collection of expected mask layers. The EDA application auto-places an identifying text label with the physical and converts the physical PCell and the text label to a format that represents the physical PCell and the text label as sequence of drawn layers. The EDA application generates an equation that performs transformational operations on the drawn layers to create a sequence of derived layers, where the sequence of derived layers defines a collection of logical mask layers. The EDA application executes the equation and compares a derived layer to the expected mask layers, if the derived layer interacts with the derived layer for the text label. If the compared derived layer varies from the expected mask layers, the EDA application reports a variance based on the text label.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: January 7, 2014
    Assignee: Altera Corporation
    Inventors: Girish Venkitachalam, Hai Thai Dang, Peter J. McElheny, Kuan Yeow Leong
  • Patent number: 8621407
    Abstract: In a circuit design support apparatus, a selection unit selects a delay circuit model from among two or more delay circuit models with wire load based on different values of physical parameters relating to wiring, on the basis of a difference value in a physical parameter between a first path and a second path, the first path being from a branch point of a clock signal line for supplying a clock signal to a register model of a semiconductor integrated circuit model to be designed up to a clock signal input terminal of the register model, the second path being from the branch point up to a data signal input terminal of the register model. An arrangement unit arranges the selected delay circuit model on a data signal line connected to the data signal input terminal.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: December 31, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tsuyoshi Sakata
  • Patent number: 8614492
    Abstract: Stress sensors and stress sensor integrated circuits using one or more nanowire field effect transistors as stress-sensitive elements, as well as design structures for a stress sensor integrated circuit embodied in a machine readable medium for designing, manufacturing, or testing an integrated circuit, and related methods thereof. The stress sensors and stress sensor integrated circuits include one or more pairs of gate-all-around field effect transistors, which include one or more nanowires as a channel region. The nanowires of each of the field effect transistors are configured to change in length in response to a mechanical stress transferred from an object. A voltage output difference from the field effect transistors indicates the magnitude of the transferred mechanical stress.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: December 24, 2013
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, Oki Gunawan, Shih-Hsien Lo, Jeffrey W Sleight
  • Patent number: 8612913
    Abstract: A method and apparatus for determining the propagation delay of a selected net in a circuit design is described. In one exemplary embodiment, a selected net is received, where the selected net includes a plurality of characteristics that represent the physical and/or parasitic parameters of the net. A net is a set of one or more wires that connects a set of circuit junctions between a pair of endpoints of that net. In addition, a simulation is performed on the selected net using the plurality of characteristics. The circuit design system computes the propagation delay for the selected net based on the simulation and makes available the propagation delay of that net. The propagation delay for a net is the delay for a signal traveling between the endpoints of the net.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: December 17, 2013
    Assignee: Synopsys, Inc.
    Inventor: David Peart
  • Patent number: 8612914
    Abstract: Cells designed to accommodate metal routing tracks having a pitch that is an odd multiple of a manufacturing grid. The cells includes cell pins that are located within the cell based on the offsets of the routing tracks relative to the cell boundaries. The cell pins are wider than wires that are routed along the metal routing tracks. The standard cell may be placed in a layout in either a normal orientation or in a flipped orientation. In both orientations, the cell pins are aligned with the wires that are routed along the metal routing tracks.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: December 17, 2013
    Assignee: Synopsys, Inc.
    Inventors: Deepak D. Sherlekar, Vahe Hovsepyan
  • Publication number: 20130332092
    Abstract: A method includes measuring a first calibration kit in a wafer to obtain a first performance data. The wafer includes a substrate, and a plurality of dielectric layers over the substrate. The first calibration kit includes a first passive device over the plurality of dielectric layers, wherein substantially no metal feature is disposed in the plurality of dielectric layers and overlapped by the first passive device. The method further includes measuring a second calibration kit in the wafer to obtain a second performance data. The second calibration kit includes a second passive device identical to the first device and over the plurality of dielectric layers, and dummy patterns in the plurality of dielectric layers and overlapped by the second passive device. The first performance data and the second performance data are de-embedded to determine an effect of metal patterns in the plurality of dielectric layers to overlying passive devices.
    Type: Application
    Filed: June 7, 2012
    Publication date: December 12, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jie Chen, Hao-Yi Tsai, Hsien-Wei Chen, Hung-Yi Kuo
  • Patent number: 8607180
    Abstract: An integrated circuit (IC) or a block of an IC is routed. The signals of the netlist to be routed are grouped according the signal properties. A signal property may be the time or clock used to initiate the switching of the signal. The signals of each group are routed successively. This causes the signals of later groups to be routed between the signals of previous groups thereby providing shielding between signals lines of the same group.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: December 10, 2013
    Assignee: LSI Corporation
    Inventor: Anuj Soni
  • Patent number: 8607183
    Abstract: A method for simplifying metal shapes in an integrated circuit including receiving an incoming wire layout for at least one metal layer of an integrated circuit, the incoming wire layout for the at least one layer including a plurality of wires running in a preferred direction and a plurality of vias connected thereto.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: December 10, 2013
    Assignee: Infineon Technologies AG
    Inventors: Hanno Melzner, Olivier Rizzo, Jacques Herry
  • Patent number: 8607178
    Abstract: An integrated circuit (IC) chip having repeaters for propagating signals along relatively long wires that extend between and among lower-level physical blocks of the IC chip, wherein the repeaters are implemented as clocked flip-flops (or “repeater flops”). A method for automatically inserting and allocating such repeater flops during the logical and physical design of the IC chip is also provided.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: December 10, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Stuart A. Taylor, Victor Ma, Bharat Patel
  • Patent number: 8607172
    Abstract: A method of designing an integrated circuit includes deploying an active area in a first standard cell. At least one gate electrode is routed, overlapping the active area in the first standard cell. At least one metallic line structure is routed, overlapping the active area in the first standard cell. The at least one metallic line structure is substantially parallel to the gate electrode. A first power rail is routed substantially orthogonal to the at least one metallic line structure in the first standard cell. The first power rail overlaps the at least one metallic line structure. The first power rail has a flat edge that is adjacent to the at least one metallic line structure. A first connection plug is deployed at a region where the first power rail overlaps the at least one metallic line structure in the first standard cell.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: December 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lee-Chung Lu, Li-Chun Tien, Hui-Zhong Zhuang, Mei-Hui Huang
  • Patent number: 8601429
    Abstract: An automated system and method for determining flip chip connections involves generating a first projection that includes representations of bumps arranged over a core of the flip chip and generating a second projection that includes representations of I/O pads arranged around the core. The first projection is generated by drawing a line through each bump between a location of the flip chip and an outer portion of the flip chip and marking a location where the line terminates at the outer portion with a representation of the bump. The outer portion of the flip chip is traversed, and the first projection is generated based on the order in which bump representations are encountered. The second projection is generated by drawing a line through each I/O pad between a location of the flip chip and an outer portion of the flip chip and marking a location where the line terminates at the outer portion with a representation of the I/O pad.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: December 3, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Tao Yao, Phil Tu, Jaejoo Cho
  • Patent number: 8601424
    Abstract: A programmable logic device architecture having logic elements with dedicated hardware to configure the look up tables of the logic element to either perform logic functions or to operate as a register for pipelining. The programmable logic device includes a general interconnect and a plurality of logic array blocks interconnected by the general interconnect. Each of the plurality of logic blocks further includes one or more logic elements. The logic elements each include a first look up table, a second look up table, and dedicated hardware within the logic element to configure the first look table and the second look up table as a register without having to use the general interconnect. In one embodiment, the dedicated hardware includes a plurality of dedicated interconnects within the logic element to configure the two look up tables as a pair of cross coupled muxes or latches when configured as a register.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: December 3, 2013
    Assignee: Altera Corporation
    Inventors: Michael D. Hutton, Gregg William Baeckler, Jinyong Yuan, Chris Wysocki, Pouyan Djahani
  • Patent number: 8601422
    Abstract: An improved approach for automatically generating physical layout constraints and topology that are visually in-sync with the logic schematic created for simulation is described. The present approach is also directed to an automatic method for transferring topology from logic design to layout.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: December 3, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Alok Tripathi, Abha Jain, Parag Choudhary, Utpal Bhattacharya
  • Patent number: 8601408
    Abstract: A received layout identifies a plurality of circuit components to be included in an integrated circuit (IC) layer for double patterning the layer using two photomasks, the layout including a plurality of first patterns to be included in the first photomask and at least one second pattern to be included in the second photomask. A selected one of the first patterns has first and second endpoints, to be replaced by a replacement pattern connecting the first endpoint to a third endpoint. At least one respective keep-out region is provided adjacent to each respective remaining first pattern except for the selected first pattern. Data are generated representing the replacement pattern, such that no part of the replacement pattern is formed in any of the keep-out regions. Data representing the remaining first patterns and the replacement pattern are output.
    Type: Grant
    Filed: October 10, 2011
    Date of Patent: December 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Chung-Min Fu, Chung-Hsing Wang, Wen-Hao Chen, Yi-Kan Cheng
  • Patent number: 8601425
    Abstract: A method, system, and computer program product for solving a congestion problem in an integrated circuit (IC) design are provided in the illustrative embodiments. A congested g-edge is selected from a set of congested g-edges. A set of congesting nets is selected, wherein the set of congesting nets cause congestion in the selected congested g-edges by crossing the selected congested g-edge. A vacancy data structure corresponding to the selected congested g-edge is populated. A subset of the set of the congesting nets is selected. The subset of the set of the congesting nets is rerouted to a candidate g-edge identified in the vacancy data structure.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: December 3, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Zhuo Li, Chin Ngai Sze, Yaoguang Wei
  • Publication number: 20130318490
    Abstract: A system and method for design and modeling of vertical interconnects for 3DI applications. A design and modeling methodology of vertical interconnects for 3DI applications includes models that represent the frequency dependent behavior of vertical interconnects by means of multi-segment RLC scalable filter networks. The networks allow for accuracy versus computation efficiency tradeoffs, while maintaining correct asymptotic behavior at both high and low frequency limits. In the framework of the model it is shown that a major effect is pronounced frequency dependent silicon substrate induced dispersion and loss effects, which is considered in through silicon via (TSV) parallel Y-element parameters, including capacitance and conductance.
    Type: Application
    Filed: April 10, 2013
    Publication date: November 28, 2013
    Applicant: International Business Machines Corporation
    Inventors: Rachel Gordin, David Goren
  • Publication number: 20130318489
    Abstract: A computer system identifies active nets in a netlist of a circuit design by performing simulation of the netlist. Active nets are interconnections between circuit components showing a level of activity during the simulation. The computer system extracts, from a layout of the circuit design, a parasitic netlist of a part of the circuit design, where the part determined by the active nets. The parasitic netlist is a list of parasitic nets, or unwanted circuit interconnections that are unavoidable adjuncts of the active nets. The computer system performs simulation of the circuit design including the netlist of a circuit design and the parasitic netlist of the part of the circuit design.
    Type: Application
    Filed: March 25, 2013
    Publication date: November 28, 2013
    Inventors: Sateesh Chandramohan, Vikram Avaral
  • Publication number: 20130318491
    Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.
    Type: Application
    Filed: August 2, 2013
    Publication date: November 28, 2013
    Applicant: Altera Corporation
    Inventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
  • Patent number: 8595682
    Abstract: Phase compensation in a differential pair of transmission lines, including: identifying, by a phase compensation module, a plurality of direction changes in the differential pair of transmission lines; determining, by the phase compensation module for each direction change in the differential pair of transmission lines, a direction change angle; and determining, by the phase compensation module for each direction change in the differential pair of transmission lines, the geometry of one or more phase correction humps to include in one transmission line of the differential pair of transmission lines in dependence upon the direction change angle.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: William T. Byrne, Robert J. Christopher, Paul D. Kangas, Pravin S. Patel, Daniel M. Ranck
  • Patent number: 8589855
    Abstract: A datapath extraction tool uses machine-learning models to selectively classify clusters of cells in an integrated circuit design as either datapath logic or non-datapath logic based on cluster features. A support vector machine and a neural network can be used to build compact and run-time efficient models. A cluster is classified as datapath if both the support vector machine and the neural network indicate that it is datapath-like. The cluster features may include automorphism generators for the cell clusters, or physical information based on the cell locations from a previous (e.g., global) placement, such as a ratio of a total cell area for a given cluster to a half-perimeter of a bounding box for the given cluster.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventor: Samuel I. Ward
  • Patent number: 8589854
    Abstract: Systems and methods are disclosed to manage power in a custom integrated circuit (IC) design by receiving a specification of the custom integrated circuit including computer readable code and generating a profile of the computer readable code to determine instruction usage; automatically generating a processor architecture uniquely customized to the computer readable code, the processor architecture having one or more processing blocks and one or more power domains; determining when each processing block is needed based on the code profile and assigning each block to one of the power domains; and gating the power domains with power based on the code profile; and synthesizing the generated architecture into a computer readable description of the custom integrated circuit for semiconductor fabrication.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: November 19, 2013
    Assignee: Algotochip Corp.
    Inventors: Pius Ng, Satish Padmanabhan, Anand Pandurangan, Ananth Durbha, Suresh Kadiyala, Gary Oblock
  • Publication number: 20130305205
    Abstract: The exemplary embodiments provide a program binder for a resilient integrated circuit. An exemplary IC comprises a plurality of composite circuit elements, a state machine element (SME), and a plurality of communication elements. Each composite circuit element comprises an element interface and a selected circuit element which may vary by element type, and which may be configurable. An exemplary program binding method includes assigning a first action to a first computational element having a first type; assigning a second action to a second computational element having a second type; and establishing a first data routing, through a selected communication element, between the first computational element and the second computational element. In the event of detection of a fault with a composite circuit element or a communication element, the various actions may be re-assigned and new data routings established.
    Type: Application
    Filed: July 16, 2013
    Publication date: November 14, 2013
    Inventor: Steven Hennick Kelem
  • Publication number: 20130305196
    Abstract: A method includes creating a technology file including data for an integrated circuit including at least one die including at least one metal layer to be formed using at least one of a single patterning process or a multi-patterning process, creating a netlist including data approximating at least one of capacitive or inductive couplings between conductors in the metal layer of at least one die based on the technology file, simulating a performance of the integrated circuit based on the netlist, adjusting the routing between the at least one die and the interposer based on the simulation to reduce the at least one of the capacitive or the inductive couplings, and repeating the simulating and adjusting to optimize the at least one of the capacitive or inductive couplings.
    Type: Application
    Filed: April 29, 2013
    Publication date: November 14, 2013
    Inventors: Chih-Cheng CHOU, Ke-Ying SU
  • Patent number: 8584061
    Abstract: To include a first semiconductor chip including driver circuits, a second semiconductor chip including receiver circuits, and through silicon vias provided in the second semiconductor chip. The first semiconductor chip includes an output switching circuit that exclusively connects an output terminal of an i-th driver circuit (where i is an integer among 1 to n) to one through silicon via among an i-th through silicon via to an (i+m)-th through silicon via. The second semiconductor chip includes an input switching circuit that exclusively connects an input terminal of an i-th receiver circuit (where i is an integer among 1 to n) to one through silicon via among the i-th through silicon via to the (i+m)-th through silicon via. With this configuration, because a difference in wiring lengths does not occur between signal paths before and after replacement of through silicon vias, the signal quality can be enhanced.
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: November 12, 2013
    Assignee: Elpida Memory, Inc.
    Inventors: Kayoko Shibata, Hitoshi Miwa, Yoshihiko Inoue
  • Patent number: 8584070
    Abstract: Global routing congestion in an integrated circuit design is characterized by computing global edge congestions and constructing a histogram of averages of the global edge congestions for varying percentages of worst edge congestion, e.g., 0.5%, 1%, 2%, 5%, 10% and 20%. Horizontal and vertical global edges are handled separately. Global edges near blockages can be skipped to avoid false congestion hotspots. The histogram of the current global routing can be compared to a histogram for a previous global routing to select a best routing solution. The histograms can also be used in conjunction with congestion-driven physical synthesis tools.
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: November 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Alpert, Zhuo Li, Lakshmi N. Reddy, Chin Ngai Sze, Yaoguang Wei
  • Patent number: 8584077
    Abstract: A method for operating a computer system to generate a layout of a device and a computer-readable medium containing instructions that cause a computer system to carry out that method are disclosed. The computer system has a display that includes a display area. The computer system provides a list of objects and creates user selected objects from the list for inclusion in the display area. The computer assigns one of a plurality of operating modes for each connectivity object in the layout. The computer generates a Net assignment for each connectivity object that is not forced to have a specific Net assignment and for which automatic assignment of a Net is allowed. The computer generated assignment depends on the operating mode associated with that connectivity object. The operating mode of at least one of the connectivity objects can be altered by input from a user of said computer system.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: November 12, 2013
    Assignee: Agilent Technologies, Inc.
    Inventors: Arbind Kumar, John Robert Lefebvre, II, Krishna Kumar Banka, Peter Niday