Method and apparatus for use in improving linearity of MOSFETS using an accumulated charge sink

- pSemi Corporation

A method and apparatus for use in improving the linearity characteristics of MOSFET devices using an accumulated charge sink (ACS) are disclosed. The method and apparatus are adapted to remove, reduce, or otherwise control accumulated charge in SOI MOSFETs, thereby yielding improvements in FET performance characteristics. In one exemplary embodiment, a circuit having at least one SOI MOSFET is configured to operate in an accumulated charge regime. An accumulated charge sink, operatively coupled to the body of the SOI MOSFET, eliminates, removes or otherwise controls accumulated charge when the FET is operated in the accumulated charge regime, thereby reducing the nonlinearity of the parasitic off-state source-to-drain capacitance of the SOI MOSFET. In RF switch circuits implemented with the improved SOI MOSFET devices, harmonic and intermodulation distortion is reduced by removing or otherwise controlling the accumulated charge when the SOI MOSFET operates in an accumulated charge regime.

Skip to: Description  ·  Claims  ·  References Cited  · Patent History  ·  Patent History
Description

This application is a reissue of U.S. application Ser. No. 15/707,970, filed Sep. 18, 2017, now U.S. Pat. No. 10,153,763, which is a continuation application of co-pending and commonly assigned U.S. application Ser. No. 14/845,154, “Method and Apparatus for use in Improving Linearity of MOSFETs using an Accumulated Charge Sink”, filed Sep. 3, 2015, now U.S. Pat. No. 9,780,775; which is a continuation application of and commonly assigned U.S. application Ser. No. 13/850,251, “Method and Apparatus for use in Improving Linearity of MOSFETs using an Accumulated Charge Sink”, filed Mar. 25, 2013, issuing on Sep. 8, 2015 as now U.S. Pat. No. 9,130,564, which application Ser. No. 13/850,251 is a continuation application of commonly assigned U.S. application Ser. No. 13/412,529, “Method and Apparatus for use in Improving Linearity of MOSFETs Using an Accumulated Charge Sink”, filed Mar. 5, 2012, issuing on Mar. 26, 2013 as now U.S. Pat. No. 8,405,147, which application Ser. No. 13/412,529 is a Continuation of commonly assigned U.S. application Ser. No. 13/053,211, “Method and Apparatus for use in Improving Linearity of MOSFETs Using an Accumulated Charge Sink”, filed Mar. 22, 2011, issuing Mar. 6, 2012 as now U.S. Pat. No. 8,129,787, which application Ser. No. 13/053,211 is a divisional application of commonly assigned U.S. application Ser. No. 11/484,370, “Method and Apparatus for use in Improving Linearity of MOSFETs Using an Accumulated Charge Sink”, filed Jul. 10, 2006, issuing Mar. 22, 2011 as now U.S. Pat. No. 7,910,993; and application Ser. No. 11/484,370 (U.S. Pat. No. 7,910,993) claims the benefit of priority under 35 U.S.C. § 119 (e) to commonly-assigned U.S. Provisional Application No. 60/698,523, filed Jul. 11, 2005, entitled “Method and Apparatus for use in Improving Linearity of MOSFETs using an Accumulated Charge Sink”; and this application U.S. application Ser. No. 15/707,970 is also a continuation of commonly assigned pending U.S. application Ser. No. 15/419,898 filed Jan. 30, 2017, which is a continuation application of commonly assigned U.S. application Ser. No. 13/948,094 filed Jul. 22, 2013 (U.S. Pat. No. 9,608,619 issued Mar. 28, 2017), which is a continuation application of commonly assigned U.S. application Ser. No. 13/028,144 filed Feb. 15, 2011 (U.S. Pat. No. 8,954,902 issued Feb. 10, 2015), which is a divisional of commonly assigned U.S. application Ser. No. 11/520,912 filed Sep. 14, 2006 (U.S. Pat. No. 7,890,891 issued Feb. 15, 2011), which is a continuation-in-part of U.S. application Ser. No. 11/484,370, filed Jul. 10, 2006 (U.S. Pat. No. 7,910,993 issued Mar. 22, 2011), and which application Ser. No. 11/520,912 application claims priority to U.S. provisional applications 60/718,260 filed Sep. 15, 2005 and 60/698,523 filed Jul. 11, 2005; and this Continuation application U.S. application Ser. No. 15/707,970 is also related to U.S. application Ser. No. 11/881,816 filed Jul. 26, 2007 which is a CIP of application Ser. No. 11/520,912 and a CIP of application Ser. No. 11/484,370; and the contents of all of the above cited provisional applications, pending applications, and issued patents, including their associated appendices, are hereby incorporated by reference herein in their entirety.

BACKGROUND 1. Field

The present invention relates to metal-oxide-semiconductor (MOS) field effect transistors (FETs), and particularly to MOSFETs fabricated on Semiconductor-On-Insulator (“SOI”) and Semiconductor-On-Sapphire (“SOS”) substrates. In one embodiment, an SOI (or SOS) MOSFET is adapted to control accumulated charge and thereby improve linearity of circuit elements.

2. Description of Related Art

Although the disclosed method and apparatus for use in improving the linearity of MOSFETs are described herein as applicable for use in SOI MOSFETs, it will be appreciated by those skilled in the electronic device design arts that the present teachings are equally applicable for use in SOS MOSFETs. In general, the present teachings can be used in the implementation of MOSFETs using any convenient semiconductor-on-insulator technology, including silicon-on-insulator technology. For example, the inventive MOSFETs described herein can be implemented using compound semiconductors on insulating substrates. Such compound semiconductors include, but are not limited to, the following: Silicon Germanium (SiGe), Gallium Arsenide (GaAs), Indium Phosphide (InP), Gallium Nitride (GaN), Silicon Carbide (SiC), and II-VI compound semiconductors, including Zinc Selenide (ZnSe) and Zinc Sulfide (ZnS). The present teachings also may be used in implementing MOSFETs fabricated from thin-film polymers. Organic thin-film transistors (OTFTs) utilize a polymer, conjugated polymers, oligomers, or other molecules to form the insulting gate dielectric layer. The present inventive methods and apparatus may be used in implementing such OTFTs.

It will be appreciated by those skilled in the electronic design arts that the present disclosed method and apparatus apply to virtually any insulating gate technology, and to integrated circuits having a floating body. As those skilled in the art will appreciate, technologies are constantly being developed for achieving “floating body” implementations. For example, the inventors are aware of circuits implemented in bulk silicon wherein circuit implementations are used to “float” the body of the device. In addition, the disclosed method and apparatus can also be implemented using silicon-on-bonded wafer implementations. One such silicon-on-bonded wafer technique uses “direct silicon bonded” (DSB) substrates. Direct silicon bond (DSB) substrates are fabricated by bonding and electrically attaching a film of single-crystal silicon of differing crystal orientation onto a base substrate. The present disclosure therefore contemplates embodiments of the disclosed method and apparatus implemented in any of the developing floating body implementations. Therefore, references to and exemplary descriptions of SOI MOSFETs herein are not to be construed as limiting the applicability of the present teachings to SOI MOSFETs only. Rather, as described below in more detail, the disclosed method and apparatus find utility in MOSFETs implemented in a plurality of device technologies, including SOS and silicon-on-bonded wafer technologies.

As is well known, a MOSFET employs a gate-modulated conductive channel of n-type or p-type conductivity, and is accordingly referred to as an “NMOSFET” or “PMOSFET”, respectively. FIG. 1 shows a cross-sectional view of an exemplary prior art SOI NMOSFET 100. As shown in FIG. 1, the prior art SOI NMOSFET 100 includes an insulating substrate 118 that may comprise a buried oxide layer, sapphire, or other insulating material. A source 112 and drain 116 of the NMOSFET 100 comprise N+ regions (i.e., regions that are heavily doped with an “n-type” dopant material) produced by ion implantation into a silicon layer positioned above the insulating substrate 118. (The source and drain of PMOSFETs comprise P+ regions (i.e., regions heavily doped with “p-type” dopant material)). The body 114 comprises a P− region (i.e., a region that is lightly doped with a “p-type” dopant), produced by ion implantation, or by dopants already present in the silicon layer when it is formed on the insulating substrate 118. As shown in FIG. 1, the NMOSFET 100 also includes a gate oxide 110 positioned over the body 114. The gate oxide 110 typically comprises a thin layer of an insulating dielectric material such as SiO2. The gate oxide 110 electrically insulates the body 114 from a gate 108 positioned over the gate oxide 110. The gate 108 comprises a layer of metal or, more typically, polysilicon.

A source terminal 102 is operatively coupled to the source 112 so that a source bias voltage “Vs” may be applied to the source 112. A drain terminal 106 is operatively coupled to the drain 116 so that a drain bias voltage “Vd” may be applied to the drain 116. A gate terminal 104 is operatively coupled to the gate 108 so that a gate bias voltage “Vg” may be applied to the gate 108.

As is well known, when a voltage is applied between the gate and source terminals of a MOSFET, a generated electric field penetrates through the gate oxide to the transistor body. For an enhancement mode device, a positive gate bias creates a channel in the channel region of the MOSFET body through which current passes between the source and drain. For a depletion mode device, a channel is present for a zero gate bias. Varying the voltage applied to the gate modulates the conductivity of the channel and thereby controls the current flow between the source and drain.

For an enhancement mode MOSFET, for example, the gate bias creates a so-called “inversion channel” in a channel region of the body 114 under the gate oxide 110. The inversion channel comprises carriers having the same polarity (e.g., “P” polarity (i.e., hole carriers), or “N” polarity (i.e., electron carriers) carriers) as the polarity of the source and drain carriers, and it thereby provides a conduit (i.e., channel) through which current passes between the source and the drain. For example, as shown in the SOI NMOSFET 100 of FIG. 1, when a sufficiently positive voltage is applied between the gate 108 and the source 112 (i.e. a positive gate bias exceeding a threshold voltage Vth), an inversion channel is formed in the channel region of the body 114. As noted above, the polarity of carriers in the inversion channel is identical to the polarity of carriers in the source and drain. In this example, because the source and drain comprise “n-type” dopant material and therefore have N polarity carriers, the carriers in the channel comprise N polarity carriers. Similarly, because the source and drain comprise “p-type” dopant material in PMOSFETs, the carriers in the channel of turned on (i.e., conducting) PMOSFETs comprise P polarity carriers.

Depletion mode MOSFETs operate similarly to enhancement mode MOSFETs, however, depletion mode MOSFETs are doped so that a conducting channel exists even without a voltage being applied to the gate. When a voltage of appropriate polarity is applied to the gate the channel is depleted. This, in turn, reduces the current flow through the depletion mode device. In essence, the depletion mode device is analogous to a “normally closed” switch, while the enhancement mode device is analogous to a “normally open” switch. Both enhancement and depletion mode MOSFETs have a gate voltage threshold, Vth, at which the MOSFET changes from an off-state (non-conducting) to an on-state (conducting).

No matter what mode of operation an SOI MOSFET employs (i.e., whether enhancement or depletion mode), when the MOSFET is operated in an off-state (i.e., the gate voltage does not exceed Vth), and when a sufficient nonzero gate bias voltage is applied with respect to the source and drain, an “accumulated charge” may occur under the gate. The “accumulated charge”, as defined in more detail below and used throughout the present application, is similar to the “accumulation charge” described in the prior art literature in reference to MOS capacitors. However, the prior art references describe “accumulation charge” as referring only to bias-induced charge existing under a MOS capacitor oxide, wherein the accumulation charge is of the same polarity as the majority carriers of the semiconductor material under the capacitor oxide. In contrast, and as described below in more detail, “accumulated charge” is used herein to refer to gate-bias induced carriers that may accumulate in the body of an off-state MOSFET, even if the majority carriers in the body do not have the same polarity as the accumulated charge. This situation may occur, for example, in an off-state depletion mode NMOSFET, wherein the accumulated charge may comprise holes (i.e., having P polarity) even though the body doping is N− rather than P−.

For example, as shown in FIG. 1, when the SOI NMOSFET 100 is biased to operate in an off-state, and when a sufficient nonzero voltage is applied to the gate 108, an accumulated charge 120 may accumulate in the body 114 underneath and proximate the gate oxide 110. The operating state of the SOI NMOSFET 100 shown in FIG. 1 is referred to herein as an “accumulated charge regime” of the MOSFET. The accumulated charge regime is defined in more detail below. The causes and effects of the accumulated charge in SOI MOSFETs are now described in more detail.

As is well known, electron-hole pair carriers may be generated in MOSFET bodies as a result of several mechanisms (e.g., thermal, optical, and band-to-band tunneling electron-hole pair generation processes). When electron-hole pair carriers are generated within an NMOSFET body, for example, and when the NMOSFET is biased in an off-state condition, electrons may be separated from their hole counterparts and pulled into both the source and drain. Over a period of time, assuming the NMOSFET continues to be biased in the off-state, the holes (resulting from the separated electron-hole pairs) may accumulate under the gate oxide (i.e., forming an “accumulated charge”) underneath and proximate the gate oxide. A similar process (with the behavior of electrons and holes reversed) occurs in similarly biased PMOSFET devices. This phenomenon is now described with reference to the SOI NMOSFET 100 of FIG. 1.

When the SOI NMOSFET 100 is operated with gate, source and drain bias voltages that deplete the channel carriers in the body 114 (i.e., the NMOSFET 100 is in the off-state), holes may accumulate underneath and proximate the gate oxide 110. For example, if the source bias voltage Vs and the drain bias voltage Vd are both zero (e.g., connected to a ground contact, not shown), and the gate bias voltage Vg comprises a sufficiently negative voltage with respect to ground and with respect to Vth, holes present in the body 114 become attracted to the channel region proximate the gate oxide 110. Over a period of time, unless removed or otherwise controlled, the holes accumulate underneath the gate oxide 110 and result in the accumulated charge 120 shown in FIG. 1. The accumulated charge 120 is therefore shown as positive “+” hole carriers in FIG. 1. In the example given, Vg is negative with respect to Vs and Vd, so electric field regions 122 and 124 may also be present.

Accumulated Charge Regime Defined

The accumulated charge is opposite in polarity to the polarity of carriers in the channel. Because, as described above, the polarity of carriers in the channel is identical to the polarity of carriers in the source and drain, the polarity of the accumulated charge 120 is also opposite to the polarity of carriers in the source and drain. For example, under the operating conditions described above, holes (having “P” polarity) accumulate in off-state NMOSFETs, and electrons (having “N” polarity) accumulate in off-state PMOSFETs. Therefore, a MOSFET device is defined herein as operating within the “accumulated charge regime” when the MOSFET is biased to operate in an off-state, and when carriers having opposite polarity to the channel carriers are present in the channel region. Stated in other terms, a MOSFET is defined as operating within the accumulated charge regime when the MOSFET is biased to operate in an off-state, and when carriers are present in the channel region having a polarity that is opposite the polarity of the source and drain carriers.

For example, and referring again to FIG. 1, the accumulated charge 120 comprises hole carriers having P or “+” polarity. In contrast, the carriers in the source, drain, and channel (i.e., when the FET is in the on-state) comprise electron carriers having N or “−” polarity. The SOI NMOSFET 100 is therefore shown in FIG. 1 as operating in the accumulated charge regime. It is biased to operate in an off-state, and an accumulated charge 120 is present in the channel region. The accumulated charge 120 is opposite in polarity (P) to the polarity of the channel, source and drain carriers (N).

In another example, wherein the SOI NMOSFET 100 comprises a depletion mode device, Vth is negative by definition. According to this example, the body 114 comprises an N− region (as contrasted with the P− region shown in FIG. 1). The source and drain comprise N+ regions similar to those shown in the enhancement mode MOSFET 100 of FIG. 1. For Vs and Vd both at zero volts, when a gate bias Vg is applied that is sufficiently negative relative to Vth (for example, a Vg that is more negative than approximately −1 V relative to Vth), the depletion mode NMOSFET is biased into an off-state. If biased in the off-state for a sufficiently long period of time, holes may accumulate under the gate oxide and thereby comprise the accumulated charge 120 shown in FIG. 1.

In other examples, Vs and Vd may comprise nonzero bias voltages. In some embodiments, Vg must be sufficiently negative to both Vs and Vd (in order for Vg to be sufficiently negative to Vth, for example) in order to bias the NMOSFET in the off-state. Those skilled in the MOSFET device design arts shall recognize that a wide variety of bias voltages may be used to practice the present teachings. As described below in more detail, the present disclosed method and apparatus contemplates use in any SOI MOSFET device biased to operate in the accumulated charge regime.

SOI and SOS MOSFETs are often used in applications in which operation within the accumulated charge regime adversely affects MOSFET performance. As described below in more detail, unless the accumulated charge is removed or otherwise controlled, it detrimentally affects performance of SOI MOSFETs under certain operating conditions. One exemplary application, described below in more detail with reference to the circuits shown in FIGS. 2B and 5A, is the use of SOI MOSFETs in the implementation of radio frequency (RF) switching circuits. As described below with reference to FIGS. 2B and 5A in more detail, the inventors have discovered that unless the accumulated charge is removed or otherwise controlled, under some operating conditions, the accumulated charge adversely affects the linearity of the SOI MOSFET and thereby increases harmonic distortion and intermodulation distortion (IMD) caused by the MOSFET when used in the implementation of certain circuits. In addition, as described below in more detail, the inventors have discovered that removal or control of the accumulated charge improves the drain-to-source breakdown voltage (i.e., the “BVDSS”) characteristics of the SOI MOSFETs.

Therefore, it is desirable to provide techniques for adapting and improving SOI (and SOS) MOSFETs, and circuits implemented with the improved SOI MOSFETs, in order to remove or otherwise control the accumulated charge, and thereby significantly improve SOI MOSFET performance. It is desirable to provide methods and apparatus for use in improving the linearity characteristics in SOI MOSFETs. The improved MOSFETs should have improved linearity, harmonic distortion, intermodulation distortion, and BVDSS characteristics as compared with prior art MOSFETs, and thereby improve the performance of circuits implemented with the improved MOSFETs. The present teachings provide such novel methods and apparatus.

SUMMARY

Apparatuses and methods are provided to control accumulated charge in SOI MOSFETs, thereby improving non-linear responses and harmonic and intermodulaton distortion effects in the operation of the SOI MOSFETs.

In one embodiment, a circuit having at least one SOI MOSFET is configured to operate in an accumulated charge regime. An accumulated charge sink (ACS), operatively coupled to the body of the SOI MOSFET, receives accumulated charge generated in the body, thereby reducing the nonlinearity of the net source-drain capacitance of the SOI MOSFET.

In one embodiment, the ACS comprises a high impedance connection to the MOSFET body, with an exemplary impedance greater than 106 ohm.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a cross-sectional view of an exemplary prior art SOI NMOSFET.

FIG. 2A is a simplified schematic of an electrical model showing the off-state impedance characteristics of the exemplary prior art SOI NMOSFET of FIG. 1.

FIG. 2B is a schematic of an exemplary simplified RF switching circuit implemented using prior art SOI MOSFETs such as the prior art SOI NMOSFET of FIG. 1.

FIGS. 3A and 3B are simplified schematic diagrams of a top view of an improved SOI NMOSFET adapted to control accumulated charge in accordance with the present teachings.

FIG. 3C is a cross-sectional perspective schematic of an improved SOI NMOSFET adapted to control accumulated charge showing gate, source, drain and accumulated charge sink (ACS) terminals.

FIG. 3D is a simplified top view schematic of an improved SOI NMOSFET adapted to control accumulated charge having an accumulated charge sink (ACS) electrically coupled to a P+ region.

FIG. 3E is a simplified top view schematic of an improved SOI SOI NMOSFET adapted to control accumulated charge and showing a cross-sectional view line A-A′ taken along approximately a center of the SOI NMOSFET.

FIG. 3F is a cross-sectional view of the improved SOI NMOSET of FIG. 3E taken along the A-A′ view line of FIG. 3E.

FIG. 3G is a cross-sectional view of the improved SOI NMOSET of FIGS. 3A-3B.

FIG. 3H is a simplified top view schematic of an SOI NMOSFET illustrating a region of increased threshold voltage that can occur in prior art MOSFETs and in some embodiments of the improved SOI MOSFET due to manufacturing processes.

FIG. 3I is a plot of inversion channel charge as a function of applied gate voltage when a region of increased threshold voltage is present in an SOI MOSFET.

FIG. 3J is a simplified top view schematic of an improved SOI NMOSFET adapted to control accumulated charge and configured in a “T-gate” configuration.

FIG. 3K is a simplified top view schematic of an improved SOI NMOSFET adapted to control accumulated charge and configured in an “H-gate” configuration.

FIG. 4A is a simplified schematic of an improved SOI NMOSFET adapted to control accumulated charge embodied as a four terminal device.

FIG. 4B is a simplified schematic of an improved SOI NMOSFET adapted to control accumulated charge, embodied as a four terminal device, wherein an accumulated charge sink (ACS) terminal is coupled to a gate terminal.

FIG. 4C is a simplified schematic of an improved SOI NMOSFET adapted to control accumulated charge, embodied as a four terminal device, wherein an accumulated charge sink (ACS) terminal is coupled to a gate terminal via a diode.

FIG. 4D is a simplified schematic of an improved SOI NMOSFET adapted to control accumulated charge, embodied as a four terminal device, wherein an accumulated charge sink (ACS) terminal is coupled to a control circuit.

FIG. 4E is a simplified schematic of an exemplary RF switch circuit implemented using the four terminal ACC NMOSFET of FIG. 4D, wherein the ACS terminal is driven by an external bias source.

FIG. 4F is a simplified schematic of an improved SOI NMOSFET adapted to control accumulated charge, embodied as a four terminal device, wherein an accumulated charge sink (ACS) terminal is coupled to a clamping circuit.

FIG. 4G is a simplified schematic of an improved SOI NMOSFET adapted to control accumulated charge, embodied as a four terminal device, wherein an accumulated charge sink (ACS) terminal is coupled to a gate terminal via a diode in parallel with a capacitor.

FIG. 4H shows plots of the off-state capacitance (Coff) versus applied drain-to-source voltages for SOI MOSFETs operated in the accumulated charge regime, wherein a first plot shows the off-state capacitance Coff of a prior art SOI MOSFET, and wherein a second plot shows the off-state capacitance Coff of the improved ACC SOI MOSFET made in accordance with the present teachings.

FIG. 5A is a schematic of an exemplary prior art single pole, single throw (SPST) radio frequency (RF) switch circuit.

FIG. 5B is a schematic of an RF switch circuit adapted for improved performance using accumulated charge control, wherein the gate of a shunting SOI NMOSFET is coupled to an accumulated charge sink (ACS) terminal.

FIG. 5C is a schematic of an RF switch circuit adapted for improved performance using accumulated charge control, wherein the gate of a shunting SOI NMOSFET is coupled to an accumulated charge sink (ACS) terminal via a diode.

FIG. 5D is a schematic of an RF switch circuit adapted for improved performance using accumulated charge control, wherein the accumulated charge sink (ACS) terminal is coupled to a control circuit.

FIG. 6 is a schematic of an RF switch circuit including stacked MOSFETs, adapted for improved performance using accumulated charge control, wherein the accumulated charge sink (ACS) terminals of the shunting stacked MOSFETs are coupled to a control signal.

FIG. 7 shows a flowchart of an exemplary method of improving the linearity of an SOI MOSFET device using an accumulated charge sink in accordance with the present disclosure.

FIG. 8 shows a simplified circuit schematic of an exemplary embodiment of an RF switch circuit made in accordance with the present disclosure, wherein the RF switch circuit includes drain-to-source resistors between the drain and source of the ACC MOSFETs.

FIG. 9 shows a simplified schematic of an exemplary single-pole double-throw (SPDT) RF switch circuit made in accordance with the present disclosure, wherein drain-to-source resistors are shown across the switching ACC SOI MOSFETs.

Like reference numbers and designations in the various drawings indicate like elements.

DETAILED DESCRIPTION

As noted above, those skilled in the electronic device design arts shall appreciate that the teachings herein apply equally to NMOSFETs and PMOSFETs. For simplicity, the embodiments and examples presented herein for illustrative purposes include only NMOSFETs, unless otherwise noted. By making well known changes to dopants, charge carriers, polarity of bias voltages, etc., persons skilled in the arts of electronic devices will easily understand how these embodiments and examples may be adapted for use with PMOSFETs.

Non-Linearity and Harmonic Distortion Effects of Accumulated Charge in an SOI NMOSFET

As described above in the background, no matter what mode of operation the MOSFET employs (i.e., enhancement mode or depletion mode), under some circumstances, when a MOSFET is operated in an off-state with a nonzero gate bias voltage applied with respect to the source and drain, an accumulated charge may occur under the gate. According to the present teachings, as described above when the MOSFET is in an off-state, and when carriers are present in the channel region having a polarity that is opposite the polarity of the source and drain carriers, the MOSFET is defined herein as operating in the accumulated charge regime.

According to the present teachings, the inventors have observed that, when used in certain circuit implementations, MOSFETs operating in the accumulated charge regime exhibit undesirable non-linear characteristics that adversely impact circuit performance. For example, as described below in more detail with reference to FIG. 2A, the accumulated charge 120 (FIG. 1) adversely affects the linearity of off-state SOI MOSFETs, and more specifically, it adversely affects the linearity of contributing capacitances to the drain-to-source capacitance (Cds). For an SOI MOSFET operating in an off-state, Cds is referred to as Coff. The contributing capacitances to Coff are described below in reference to FIG. 2A for bias conditions wherein the gate bias Vg is provided by a circuit having an impedance that is large compared to the impedances of the contributing capacitances. As described below with reference to FIGS. 2B and 5A, this, in turn, adversely affects harmonic distortion, intermodulation distortion, and other performance characteristics of circuits implemented with the SOI MOSFETs. These novel observations, not taught or suggested by the prior art, may be understood with reference to the electrical model shown in FIG. 2A.

FIG. 2A is a simplified schematic of an electrical model 200 showing the off-state impedance (or conversely, conductance) characteristics of the exemplary prior art SOI NMOSFET 100 of FIG. 1. More specifically, the model 200 shows the impedance characteristics from the source 112 to the drain 116 when the NMOSFET 100 is operated in the off-state. Because the drain-to-source off-state impedance characteristic of the NMOSFET 100 is primarily capacitive in nature, it is referred to herein as the drain-to-source off-state capacitance (Coff). For the exemplary description herein, the gate 108 is understood to be biased at a voltage Vg by a circuit (not shown) that has an impedance that is large compared to the impedances of the contributing capacitances described in reference to FIG. 2A. Persons skilled in the electronic arts will understand how this exemplary description may be modified for the case wherein the impedance of the circuit providing the Vg bias is not large compared to the impedances of the contributing capacitances.

As shown in FIG. 2A, the junction between the source 112 and the body 114 (i.e., a source-body junction 218) of the off-state NMOSFET 100 can be represented by a junction diode 208 and a junction capacitor 214, configured as shown. Similarly, the junction between the drain 116 and the body 114 (i.e., the drain-body junction 220) of the off-state NMOSFET 100 can be represented by a junction diode 210 and a junction capacitor 216, configured as shown. The body 114 is represented simply as an impedance 212 that is present between the source-body junction 218 and the drain-body junction 220.

A capacitor 206 represents the capacitance between the gate 108 and the body 114. A capacitor 202 represents the capacitance between the source 112 and the gate 108, and another capacitor 204 represents the capacitance between the drain 116 and the gate 108. A substrate capacitance due to the electrical coupling between the source 112 and the drain 116 (through the insulating substrate 118 shown in FIG. 1) is taken to be negligibly small in the exemplary description set forth below, and therefore is not shown in the electrical model 200 of FIG. 2A.

As described above, when the NMOSFET 100 is in the off-state, and when the accumulated charge 120 (FIG. 1) is not present in the body 114 (i.e., the NMOSFET 100 is not operating within the accumulated charge regime), the body 114 is depleted of charge carriers. In this case the body impedance 212 is analogous to the impedance of an insulator, and the electrical conductance through the body 114 is very small (i.e., the NMOSFET 100 is in the off-state). Consequently, the principal contributions to the drain-to-source off-state capacitance Coff are provided by the capacitors 202 and 204. The capacitors 202 and 204 are only slightly voltage dependent, and therefore do not significantly contribute to a nonlinear response that adversely affects harmonic generation and intermodulation distortion characteristics.

However, when the NMOSFET 100 operates within the accumulated charge regime, and the accumulated charge 120 is therefore present in the body 114, mobile holes comprising the accumulated charge produce p-type conductivity between the source-body junction 218 and the drain-body junction 220. In effect, the accumulated charge 120 produces an impedance between the source-body junction 218 and the drain-body junction 220 that is significantly less than the impedance between the junctions in the absence of the accumulated charge. If a Vds voltage is applied between the drain 116 and the source 112, the mobile holes redistribute according to the electrical potentials that result within the body 114. DC and low-frequency current flow through the SOI NMOSFET 100 is prevented by the diode properties of the source-body junction 218 and the drain-body junction 220, as represented by the junction diodes 208 and 210, respectively. That is, because the junction diodes 208 and 210 are anti-series (i.e., “back-to-back”) in this case, no DC or low-frequency currents flow through the SOI NMOSFET 100. However, high-frequency currents may flow through the SOI NMOSFET 100 via the capacitances of the source-body junction 218 and the drain-body junction 220, as represented by the junction capacitors 214 and 216, respectively.

The junction capacitors 214 and 216 are voltage dependent because they are associated with junctions between n-type and p-type regions. This voltage dependence results from the voltage dependence of the width of the depletion region of the junction between the n-type and p-type regions. As a bias voltage is applied to the NMOSFET, the width of the depletion region of the junction between the n-type and p-type regions is varied. Because the capacitance of the junction depends on the width of the junction depletion region, the capacitance also varies as a function of the bias applied across the junction (i.e., the capacitance is also voltage dependent).

Further, the capacitors 202 and 204 may also have a voltage dependence caused by the presence of the accumulated charge 120. Although the complex reasons for this voltage dependence are not described in detail herein, persons skilled in the arts of electronic devices shall understand that electric field regions (e.g., electric field regions 122 and 124 described above with reference to FIG. 1) may be affected by the response of the accumulated charge and its response to an applied Vds, thereby causing a voltage dependence of capacitors 202 and 204. An additional non-linear effect may occur due to a direct capacitance (not shown) between the source 112 and the drain 116. Although this direct capacitance would usually be expected to be negligible for most SOI MOSFETs, it may contribute for SOI MOSFETs having very short spacing between the source and drain. The contribution of this direct capacitance to Coff is also voltage-dependent in the presence of an accumulated charge, for reasons that are analogous to the voltage dependencies of the capacitors 202 and 204 as described above.

The voltage dependencies of the junction capacitors 214 and 216, the gate-to-source and gate-to-drain capacitors 202, 204, respectively, and the direct capacitance (not shown), cause nonlinear behavior in off-state capacitance Coff of the MOSFET when AC voltages are applied to the NMOSFET 100, thereby producing undesirable generation of harmonic distortions and intermodulation distortion (IMD). The relative contributions of these effects are complex, and depend on fabrication processes, biases, signal amplitudes, and other variables. However, those skilled in the electronic device design arts shall understand from the teachings herein that reducing, removing, or otherwise controlling the accumulated charge provides an overall improvement in the nonlinear behavior of Coff. In addition, because the body impedance 212 is significantly decreased in the presence of the accumulated charge 120, the magnitude of Coff may be increased when the FET operates in the accumulated charge regime. Reducing, removing, or otherwise controlling the accumulated charge also mitigates this effect.

In addition, the accumulated charge does not accumulate in the body in an instant as soon as the FET transitions from an on-state (conducting state) to an off-state (non-conducting state). Rather, when the FET transitions from the on-state to the off-state, it begins to accumulate charge in the body of the MOSFET, and the amount of accumulated charge increases over time. The accumulation of the accumulated charge therefore has an associated time constant (i.e., it does not instantly reach a steady-state level of accumulated charge). The accumulated charge accumulates slowly in the FET body. The depleted FET has a Coff associated with it which is increased with an increasing amount of accumulated charge. In terms of FET performance, as the Coff increases with an increasing amount of accumulated charge in the FET body, drift occurs in the FET insertion loss (i.e., the FET becomes more “lossy”), isolation (the FET becomes less isolating) and insertion phase (delay in the FET is increased). Reducing, removing, or otherwise controlling the accumulated charge also mitigates these undesirable drift effects.

The inventors have observed that the nonlinear behavior of the MOSFET off-state capacitance Coff adversely affects the performance of certain circuits implemented with the prior art SOI MOSFETs. For example, when an RF switch is implemented using the prior art SOI MOSFETs, such as the prior art SOI NMOSFET 100 of FIG. 1, the above-described non-linear off-state characteristics of the prior art MOSFETs adversely affect the linearity of the switch. As described below in more detail, RF switch linearity is an important design parameter in many applications. Improved switch linearity leads to improved suppression of harmonic and intermodulation (IM) distortion of signals processed by the switch. These improved switch characteristics can be critically important in some applications such as use in cellular communication devices.

For example, the well known GSM cellular communication system standard imposes stringent linearity, harmonic and intermodulation suppression, and power consumption requirements on front-end components used to implement GSM cell phones. One exemplary GSM standard requires that all harmonics of a fundamental signal be suppressed to below −30 dBm at frequencies up to 12.75 GHz. If harmonics are not suppressed below these levels, reliable cell phone operation can be significantly adversely impacted (e.g., increased dropped calls or other communication problems may result due to harmonic and intermodulation distortion of the transmit and receive signals). Because the RF switching function is generally implemented in the cell phone front-end components, improvements in the RF switch linearity, harmonic and intermodulation suppression, and power consumption performance characteristics is highly desirable. A description of how the non-linear behavior of the off-state capacitance Coff of the prior art MOSFETs adversely affects these RF switch characteristics is now described with reference to FIG. 2B.

Harmonic Distortion Effects on RF Switch Circuits Implemented Using Prior Art SOI MOSFETs

FIG. 2B illustrates an exemplary simplified RF switch circuit 250 implemented using prior art MOSFETs such as the prior art SOI NMOSFET 100 described above with reference to FIG. 1. A detailed description of the operation and implementation of RF switch circuits is provided in commonly assigned U.S. Pat. No. 6,804,502 which is hereby incorporated herein by reference in its entirety for its teachings on RF switch circuits. As shown in FIG. 2B, the prior art RF switch 250 includes a single “pass” or “switching” MOSFET 254 operatively coupled to five shunting MOSFETs 260a-260e.

The MOSFET 254 acts as a pass or switching transistor and is configured, when enabled, to selectively couple an RF input signal (applied to its drain, for example) to an RF antenna 258 via a transmission path 256. The shunting MOSFETs, 260a-260e, when enabled, act to alternatively shunt the RF input signal to ground. As is well known, the switching MOSFET 254 is selectively controlled by a first switch control signal (not shown) coupled to its gate, and the shunting MOSFETs, 260a-260e are similarly controlled by a second switch control signal (not shown) coupled to their gates. The switching MOSFET 254 is thereby enabled when the shunting MOSFETs 260a-260e are disabled, and vice versa. As shown in the exemplary embodiment of the RF switch 250 of FIG. 2B, the switching MOSFET 254 is enabled by applying a gate bias voltage of +2.5V (via the first switch control signal). The shunting MOSFETs 260a-260e are disabled by applying a gate bias voltage of −2.5V (via the second switch control signal).

When the switch 250 is configured in this state, the RF signal 252 propagates through the switching MOSFET 254, through the transmission path 256, and to the antenna 258. As described above with reference to FIG. 2A, when the shunting MOSFETS 260a-260e comprise prior art SOI (or SOS) MOSFETs, such as the SOI NMOSFET 100 (FIG. 1), an accumulated charge can occur in the SOI MOSFET bodies (i.e., when the SOI MOSFETs operate in the accumulated charge regime as described above). The accumulated charge can produce nonlinear behavior in the off-state capacitance Coff of the SOI MOSFETs when AC voltages are applied to the MOSFETs.

More specifically, when the accumulated charge is present in the channel regions of the off-state SOI MOSFETs 260a-260e it responds to variations in the RF signals applied to their respective drains. As the time varying RF signal propagates along the transmission path 256, the RF signal applies time varying source-to-drain bias voltages to the SOI MOSFETs 260a-260e. The time varying source-to-drain bias voltages creates movement of the accumulated charge within the channel regions of the SOI MOSFETs 260-260e. The movement of the accumulated charge within the channel regions of the SOI MOSFETs causes variations in the drain-to-source off-state capacitance of the SOI MOSFETs 260a-260e. More specifically, the movement of the accumulated charge within the channel regions causes a voltage dependence of the drain-to-source off-state capacitance as described above with reference to FIG. 2A. The voltage dependent variations in the off-state capacitance of the SOI MOSFETs 260a-260e is the dominant cause of harmonic distortion and IMD of the RF signal as it propagates through the RF switch 250.

As noted above, harmonic distortion and IMD of the RF signal is a major disadvantage of the prior art RF switch circuits implemented using the prior art SOI MOSFET devices. For many applications, harmonics and IMD of the RF signal must be suppressed to levels that heretofore have been difficult or impossible to achieve using prior art SOI MOSFET devices. In GSM devices, for example, at a maximum operating power of +35 dBm, prior art switches typically have only a 6 dB margin to the GSM third order harmonics suppression requirement of less than −30 dBm. Very low even order harmonic distortion is also desirable in GSM systems as the second order harmonic of the GSM transmit band also resides in the DCS receive band. Suppression of odd order (e.g., third order) harmonics of the RF signal, however, is desirable and improvements in that regard are needed.

In addition, as is well known, presence of an accumulated charge in the bodies of floating body (e.g., SOI) MOSFETs can also adversely affect the drain-to-source breakdown voltage (BVDSS) performance characteristics of the floating body MOSFETs. As is well known, floating-body FETs demonstrate drain-to-source breakdown voltage problems, also known as BVDSS, wherein the drain-to-source “punch-through” voltage is reduced by a parasitic bipolar action. The parasitic bipolar action is caused when holes are generated in the channel and the holes have nowhere to dissipate (i.e., because the body is floating, the holes have no means for escaping the body). As a consequence, the potential of the MOSFET body is increased, which effectively reduces the threshold voltage. In turn, this condition causes the MOSFET device to experience increased leakage, thereby generating more holes in the body, and thereby exacerbating the BVDSS problem (as a result of this positive feedback condition).

The present disclosed method and apparatus for improving linearity of SOI (and SOS) MOSFET devices overcomes the above-described disadvantages of the prior art. Once the accumulated charge is recognized as a major source of harmonic distortion, IMD and compression/saturation in off-state SOI MOSFET devices, and in circuits (such as RF circuits) implemented with these devices, it becomes clear that reduction, removal, and/or control of the accumulated charge improves the harmonic suppression characteristics of these devices. In addition, reduction, removal, and/or control of the accumulated charge also improve the BVDSS performance characteristics by preventing the parasitic bipolar action from occurring. Improvements in BVDSS lead to consequent improvements in device linearity. Several exemplary structures and techniques for controlling the accumulated charge in SOI MOSFETs are described in detail in the next section.

Method and Apparatus for Improving the Linearity of MOSFETs Using Accumulated Charge Sinks (ACS)—Overview

As described below in more detail, the present disclosure describes methods and apparatuses for improving semiconductor device linearity (e.g., reducing adverse harmonic distortion and IMD effects) in SOI MOSFETs. In one exemplary embodiment, the method and apparatus improves the linearity and controls the harmonic distortion and IMD effects of the MOSFET devices by reducing the accumulated charge in the bodies of the MOSFET devices. In one embodiment, the present method and apparatus reduces or otherwise controls the accumulated charge in the MOSFET bodies using an accumulated charge sink (ACS) that is operatively coupled to the MOSFET body. In one embodiment, the present method and apparatus entirely removes all of the accumulated charge from the bodies of the MOSFET devices. In one described embodiment, the MOSFET is biased to operate in an accumulated charge regime, and the ACS is used to entirely remove, reduce, or otherwise control, the accumulated charge and thereby reduce harmonic distortions and IMD that would otherwise result. Linearity is also improved in some embodiments by removing or otherwise controlling the accumulated charge thereby improving the floating body MOSFET BVDSS characteristics.

As noted in the background section above, persons skilled in the electronic device design and manufacture arts shall appreciate that the teachings herein apply equally to MOSFETs fabricated on Semiconductor-On-Insulator (“SOI”) and Semiconductor-On-Sapphire (“SOS”) substrates. The present teachings can be used in the implementation of MOSFETs using any convenient semiconductor-on-insulator technology. For example, the inventive MOSFETs described herein can be implemented using compound semiconductors fabricated on insulating substrates, such as GaAs MOSFETs. As noted above, the present method and apparatus may also be applied to silicon-germanium (SiGe) SOI MOSFETs. For simplicity, the embodiments and examples presented herein for illustrative purposes include only NMOSFETs, unless otherwise noted. By making well known changes to dopants, charge carriers, polarity of bias voltages, etc., persons skilled in the electronic device design arts will easily understand how these embodiments and examples may be adapted for use with PMOSFETs.

As noted above, the present disclosure is particularly applicable to FETs and associated applications benefiting from a fully depleted channel when the FET is operated in the off-state, wherein an accumulated charge may result. The disclosed method and apparatus for use in improving the linearity of MOSFETs also finds applicability for use with partially depleted channels. As known to those skilled in the art, the doping and dimensions of the body vary widely. In an exemplary embodiment, the body comprises silicon having a thickness of approximately 100 angstroms to approximately 2,000 angstroms. In a further exemplary embodiment, dopant concentration within the FET bodies ranges from no more than that associated with intrinsic silicon to approximately 1×1018 active dopant atoms per cm3, resulting in fully-depleted transistor operation. In a further exemplary embodiment, dopant concentration within the FET bodies ranges from 1×1018 to 1×1019 active dopant atoms per cm3 and/or the silicon comprising the body ranges from a thickness of 2000 angstroms to many micrometers, resulting in partially-depleted transistor operation. As will be appreciated by those skilled in the electronic design and manufacturing arts, the present disclosed method and apparatus for use in improving linearity of MOSFETs can be used in MOSFETs implemented in a wide variety of dopant concentrations and body dimensions. The present disclosed method and apparatus therefore is not limited for use in MOSFETs implemented using the exemplary dopant concentrations and body dimensions as set forth above.

According to one aspect of the present disclosure, accumulated charge within a FET body is reduced using control methodologies and associated circuitry. In one embodiment all of the accumulated charge is removed from the FET body. In other embodiments, the accumulated charge is reduced or otherwise controlled. In one embodiment, holes are removed from the FET body, whereas in another embodiment, electrons are removed from the FET body, as described below in more detail. By removing holes (or electrons) from the FET body using the novel and nonobvious teachings of the present disclosure, voltage induced variations in the parasitic capacitances of the off-state FETs are reduced or eliminated, thereby reducing or eliminating nonlinear behavior of the off-state FETs. In addition, as described above with reference to FIG. 2A, because the body impedance is greatly increased when the accumulated charge is reduced or controlled, there is a beneficial overall reduction in the magnitude of the FET off-state capacitances. Also, as described above, removing or otherwise controlling the accumulated charge in floating body MOSFETs improves the BVDSS characteristics of the FET and thereby improves the linearity of the floating body MOSFET.

Accumulated charge control not only facilitates a beneficial overall reduction in the FET off-state capacitance Coff (as described above with reference to FIG. 2A and below with reference to FIG. 4H), it also facilitates a reduction in Coff variations that can occur over time in the presence of a time varying Vds bias voltage. Thus, a reduction of undesirable harmonics generation and intermodulation distortion in RF switch circuits is obtained using SOI MOSFETs made in accordance with the present disclosure. Improved SOI MOSFET power handling, linearity, and performance are achieved by devices made in accordance with the present teachings. While the methods and apparatuses of the present disclosure are capable of fully removing accumulated charge from the FET bodies, those skilled in the electronic device design arts shall appreciate that any reduction of accumulated charge is beneficial.

Reductions in harmonics and intermodulation distortion are generally beneficial in any semiconductor system, either bulk semiconductor or semiconductor-on-insulator (SOI) systems. SOI systems include any semiconductor architecture employing semiconductor-containing regions positioned above an underlying insulating substrate. While any suitable insulating substrate can be used in a SOI system, exemplary insulating substrates include silicon dioxide (e.g., a buried oxide layer supported by a silicon substrate, such as that known as Separation by Implantation of Oxygen (SIMOX)), bonded wafer (thick oxide), glass, and sapphire. As noted above, in addition to the commonly used silicon-based systems, some embodiments of the present disclosure may be implemented using silicon-germanium (SiGe), wherein the SiGe is used equivalently in place of Si.

A wide variety of ACS implementations and structures can be used to practice the present disclosed method and apparatus. In accordance with one embodiment of the present method and apparatus, an ACS is used to remove or otherwise control accumulated charge (referenced as 120 in FIG. 1 described above) from the MOSFETs when the MOSFETs are configured to operate in the accumulated charge regime. By adapting the SOI (or SOS) MOSFETs in accordance with the present teachings, improved Accumulated Charge Control (ACC) MOSFETs are realized. The ACC MOSFETs are useful in improving performance of many circuits, including RF switching circuits. Various characteristics and possible configurations of the exemplary ACC MOSFETs are described in detail below with reference to FIGS. 3A-3K. This section also describes how the exemplary ACS implementations of the present disclosure differ from the body contacts of the prior art.

The ACC MOSFET is shown schematically embodied as a four-terminal device in FIG. 4A. FIGS. 4B-4G show various exemplary simple circuit configurations that can be used in removing the accumulated charge from the ACC MOSFET when it operates in an accumulated charge regime. The operation of the simplified circuit configurations is described in more detail below with reference to FIGS. 4A-4G. The improvement in off-state capacitance Coff of the ACC MOSFETs, as compared with the off-state capacitance of the prior art SOI MOSFETs, is described below with reference to FIG. 4H.

The operation of various exemplary RF switch circuits implemented using the ACC MOSFETs of the present disclosure is described below with reference to the circuit schematics of FIGS. 5B-5D. Further, an exemplary RF switch circuit using stacked ACC MOSFETs (for increased power handling) of the present disclosure is described below with reference to FIG. 6. An exemplary method of improving the linearity of an SOI MOSFET using an accumulated charge sink (ACS) is described with reference to FIG. 7. Finally, exemplary fabrication methods that may be used to manufacture the ACC MOSFET are described. The various exemplary ACS implementations and structures that can be used to practice the disclosed method and apparatus are now described with reference to FIGS. 3A-3K.

Controlling Accumulated Charge Using an Accumulated Charge Sink (ACS)

FIGS. 3A and 3B are simplified schematic diagrams of a top view of an Accumulated Charge Control (ACC) SOI NMOSFET 300 adapted to control accumulated charge 120 (FIG. 1) in accordance with the present disclosure. In the exemplary embodiment, a gate contact 301 is coupled to a first end of a gate 302. A gate oxide (not shown in FIG. 3A but shown in FIG. 1) and a body 312 (shown in FIG. 3B) are positioned under the gate 302. In the exemplary NMOSFET 300 shown, a source 304 and a drain 306 comprise N+ regions. In the exemplary embodiment, the ACC NMOSFET 300 includes an accumulated charge sink (ACS) 308 comprising a P− region. The ACS 308 is coupled to and is in electrical communication with the body 312 which also comprises a P− region. An electrical contact region 310 provides electrical connection to the ACS 308. In some embodiments, the electrical contact region 310 comprises a P+ region. As shown in FIG. 3A, the electrical contact region 310 is coupled to and is in electrical communication with the ACS 308.

Those skilled in the arts of electronic devices shall understand that the electrical contact region 310 may be used to facilitate electrical coupling to the ACS 308 because in some embodiments it may be difficult to make a direct contact to a lightly doped region. In addition, in some embodiments the ACS 308 and the electrical contact region 310 may be coextensive. In another embodiment, the electrical contact region 310 comprises an N+ region. In this embodiment, the electrical contact region 310 functions as a diode connection to the ACS 308, which prevents positive current flow into the ACS 308 (and also prevents positive current flow into the body 312) under particular bias conditions, as described below in more detail.

FIG. 3B is an alternative top view of the ACC SOI NMOSFET 300 of FIG. 3A, illustrating the ACC NMOSFET 300 without its gate contact 301, gate 302, and gate oxide being visible. This view allows the body 312 to be visible. FIG. 3B shows the coupling of the ACS 308 to one end of the body 312. In one embodiment, the body 312 and the ACS 308 comprise a combined P− region that may be produced by a single ion-implantation step. In another embodiment, the body 312 and ACS 308 comprise separate P− regions that are coupled together.

As is well known to those skilled in the electronic device design arts, in other embodiments, the ACC NMOSFET 300 of FIGS. 3A and 3B can be implemented as an ACC PMOSFET simply by reversing the dopant materials used to implement the various FET component regions (i.e., replace p-type dopant material with n-type dopant material, and vice versa). More specifically, in an ACC PMOSFET, the source and drain comprise P+ regions, and the body comprises an N− region. In this embodiment, the ACS 308 also comprises an N− region. In some embodiments of the ACC PMOSFET, the electrical contact region 310 may comprise an N+ region. In other embodiments of the ACC PMOSFETs, the region 310 comprises a P+ region, which functions as a diode connection to the ACS 308 and thereby prevents current flow into the ACS 308 under particular bias conditions.

Prior Art Body Contacts Distinguished from the Disclosed ACS

According to the present disclosure, the ACS 308 used to implement ACC SOI MOSFETs includes novel features in structure, function, operation and design that distinguish it from the so-called “body contacts” (also sometimes referred to as “body ties”, usually when the “body contact” is directly connected to the source) that are well known in the prior art.

Exemplary references relating to body contacts used in prior art SOI MOSFETs include the following: (1) F. Hameau and O. Rozeau, Radio-Frequency Circuits Integration Using CMOS SOI 0.25 μm Technology,” 2002 RF IC Design Workshop Europe, 19-22 Mar. 2002, Grenoble, France; (2) J. R. Cricci et al., “Silicon on Sapphire MOS Transistor,” U.S. Pat. No. 4,053,916, Oct. 11, 1977; (3) O. Rozeau et al., “SOI Technologies Overview for Low-Power Low-Voltage Radio-Frequency Applications,” Analog Integrated Circuits and Signal Processing, 25, pp. 93-114, Boston, Mass., Kluwer Academic Publishers, November 2000; (4) C. Tinella et al., “A High-Performance CMOS-SOI Antenna Switch for the 2.5-5-GHz Band, “IEEE Journal of Solid-State Circuits, Vol. 38, No. 7, July, 2003; (5) H. Lee et al., “Analysis of body bias effect with PD-SOI for analog and RF applications,” Solid State Electron., Vol. 46, pp. 1169-1176, 2002; (6) J.-H. Lee, et al., “Effect of Body Structure on Analog Performance of SOI NMOSFETs,” Proceedings, 1998 IEEE International SOI Conference, 5-8 Oct. 1998, pp. 61-62; (7) C. F. Edwards, et al., The Effect of Body Contact Series Resistance on SOI CMOS Amplifier Stages,” IEEE Transactions on Electron Devices, Vol. 44, No. 12, December 1997 pp. 2290-2294; (8) S. Maeda, et al., Substrate-bias Effect and Source-drain Breakdown Characteristics in Body-tied Short-channel SOI MOSFET' s,” IEEE Transactions on Electron Devices, Vol. 46, No. 1, January 1999 pp. 151-158; (9) F. Assaderaghi, et al., “Dynamic Threshold-voltage MOSFET (DTMOS) for Ultra-low Voltage VLSI,” IEEE Transactions on Electron Devices, Vol. 44, No. 3, March 1997, pp. 414-422; (10) G. O. Workman and J. G. Fossum, “A Comparative Analysis of the Dynamic Behavior of BTG/SOI MOSFETs and Circuits with Distributed Body Resistance,” IEEE Transactions on Electron Devices, Vol. 45, No. 10, October 1998 pp. 2138-2145; and (11) T.-S. Chao, et al., “High-voltage and High-temperature Applications of DTMOS with Reverse Schottky Barrier on Substrate Contacts,” IEEE Electron Device Letters, Vol. 25, No. 2, February 2004, pp. 86-88.

As described herein, applications such as RF switch circuits, may use SOI MOSFETs operated with off-state bias voltages, for which accumulated charge may result. The SOI MOSFETs are defined herein as operating within the accumulated charge regime when the MOSFETs are biased in the off-state, and when carriers having opposite polarity to the channel carriers are present in the channel regions of the MOSFETs. In some embodiments, the SOI MOSFETs may operate within the accumulated charge regime when the MOSFETs are partially depleted yet still biased to operate in the off-state. Significant benefits in improving nonlinear effects on source-drain capacitance can be realized by removing or otherwise controlling the accumulated charge according to the present teachings. In contrast to the disclosed techniques, none of the cited prior art teach or suggest ACS methods and apparatuses that are uniquely useful for removing or controlling accumulated charge. Nor are they informed regarding problems caused by the accumulated charge such as nonlinear effects on the off-state source-drain capacitance Coff. Consequently, the prior art body contacts described in the references cited above differ greatly (in structure, function, operation and design) from the ACSs described with reference to FIGS. 3A-4D.

In one example, the ACS 308 operates effectively to remove or otherwise control the accumulated charge from the SOI NMOSFET 300 using a high impedance connection to and throughout the body 312. High impedance ACSs may be used because the accumulated charge 120 is primarily generated by phenomena (e.g., thermal generation) that take a relatively long period of time to produce significant accumulated charge. For example, a typical time period for producing non-negligible accumulated charge when the NMOSFET operates in the accumulated charge regime is approximately a few milliseconds or greater. Such relatively slow generation of accumulated charge corresponds to very low currents, typically less than 100 nA/mm of transistor width. Such low currents can be effectively conveyed even using very high impedance connections to the body. According to one example, the ACS 308 is implemented with a connection having a resistance of greater than 106 ohms. Consequently, the ACS 308 is capable of effectively removing or otherwise controlling the accumulated charge 120 even when implemented with a relatively high impedance connection, relative to the low impedance prior art body contacts.

In stark contrast, the prior art teachings of body contacts described in the references cited above require low impedance (high efficiency) access to the body regions of SOI MOSFETs for proper operation (see, e.g., references (3), (6), and (7) above). A principal reason for this requirement is that the prior art body contacts are primarily directed to reducing the adverse effects on SOI MOSFET functions caused by much faster and more effective electron-hole pair generation processes than occur when the FET is operated in the accumulated charge regime. For example, in some prior art MOSFETs not operated in the accumulated charge regime, electron-hole pair carriers are generated as a result of impact ionization. Impact ionization produces electron-hole pairs at a much faster rate than occurs when the FET is operated in the accumulated charge regime.

The relative rates for electron-hole pair generation by impact ionization versus the pair generation processes causing accumulated charge can be estimated from the roll-off frequencies for the two phenomena. For example, reference (3) cited above indicates roll-off frequencies for impact ionization effects in the range of 105 Hz. In contrast, a roll-off frequency for the accumulated charge effects has been observed to be in the range of 103 Hz or less, as indicated by recovery times for odd harmonics. These observations indicate that the ACS 308 can effectively control accumulated charge using an impedance that is at least 100 times larger than required of prior art body contacts used in controlling impact ionization charge, for example. Further, because impact ionization primarily occurs when the SOI MOSFET operates in an on-state, the effects of impact ionization can be amplified by on-state transistor operation. Low impedance body contacts to and throughout a body region is even more critical in these environments in order to control the effects of impact ionization under the on-state conditions.

In stark contrast, the ACS 308 of the present teachings removes or otherwise controls the accumulated charge only when the ACC SOI MOSFET operates in the accumulated charge regime. By definition, the FET is in the off-state in this regime, so there is no requirement to remove impact ionization as amplified by an on-state FET. Therefore, a high impedance ACS 308 is perfectly adequate for removing the accumulated charge under these operating conditions. The prior art requirements for low impedance body connections results in numerous problems of implementation that are overcome by the present teachings, as described below in more detail.

In addition, the ACS 308 may be implemented with much lower source-to-drain parasitic capacitance as compared to the body contacts of the prior art. The above-described low impedance connection to the SOI MOSFET body required of the prior art body contacts necessitates proximity of the contacts to the entire body. This may require a plurality body contact “fingers” that contact the body at different locations along the body. The low impedance connection to the body also necessitates proximity of the prior art body contacts to the source and drain. Because of parasitic capacitances produced by such body contacts, the cited prior art references teach away from the use of such structures for many high frequency applications such as RF. In stark contrast, the ACS 308 of the present disclosure may be positioned a selected distance away from the source 304 and the drain 306, and the ACS 308 may also be coupled to the body 312 at a first distal end of the body 312 (shown in FIGS. 3A and 3B). Arranged in this manner, the ACS 308 makes minimal contact (as compared to the prior art body contacts that may contact the body at many locations along the body) with the body 312. This configuration of the ACS 308 with the MOSFET eliminates or greatly reduces the parasitic capacitances caused by a more proximate positioning of the ACS 308 relative to the source, drain, and body. Further, the ACS 308 may be implemented in SOI MOSFETs operated with a depleted channel. In general, the cited prior art references teach away from the use of body contacts for this environment (see, e.g., reference (3), cited above).

Further, because impact ionization hole currents are much larger (in the range of 5,000 nA per mm body width) than for accumulated charge generation (less than approximately 100 nA per mm body width), the prior art does not teach how to effectively implement very large body widths (i.e., much greater than approximately 10 μm). In contrast, the ACS 308 of the present disclosed device may be implemented in SOI MOSFETs having relatively large body widths. This provides improvements in on-state conductance and transconductance, insertion loss and fabrication costs, particularly for RF switch devices. According to the prior art teachings cited above, larger body widths adversely affect the efficient operation of body contacts because their impedances are necessarily thereby increased. Although the cited prior art suggests that a plurality of fingers may be used to contact the body at different locations, the plurality of fingers adversely affects parasitic source-to-drain capacitances, as described above.

For these reasons, and for the reasons described below in more detail, the present disclosure provides novel MOSFET devices, circuits and methods that overcome the limitations according to the prior art teachings as cited above.

FIG. 3C is a cross-sectional perspective schematic of an ACC SOI NMOSFET 300′ adapted to control accumulated charge in accordance with the disclosed method and apparatus. In the example shown in FIG. 3C, the ACC NMOSFET 300′ includes four terminals that provide electrical connection to the various FET component regions. In one embodiment, the terminals provide means for connecting external integrated circuit (IC) elements (such as metal leads, not shown) to the various FET component regions. Three of the terminals shown in FIG. 3C are typically available in prior art FET devices. For example, as shown in FIG. 3C, the ACC NMOSFET 300′ includes a gate terminal 302′ that provides electrical connection to the gate 302. Similarly, the ACC NMOSFET 300′ includes source and drain terminals 304′, 306′ that provide electrical connection to the source 304 and drain 306, respectively. As is well known in the electronic design arts, the terminals are coupled to their respective FET component regions (i.e., gate, drain and source) via so-called “ohmic” (i.e., low resistance) contact regions. The manufacturing and structural details associated with the coupling of the various FET terminals to the FET component regions are well known in the art, and therefore are not described in more detail here.

As described above with reference to FIGS. 3A and 3B, the ACC NMOSFET 300′ is adapted to control accumulated charge when the NMOSFET operates in the accumulated charge regime. To this end, in the exemplary embodiment shown in FIG. 3C, the ACC NMOSFET 300′ includes a fourth terminal that provides electrical connection to the body 312, and thereby facilitates reduction (or other control) of the accumulated charge when the FET 300′ operates in the accumulated charge regime. More specifically, and referring again to FIG. 3C, the ACC NMOSFET includes a “body” terminal, or Accumulated Charge Sink (ACS) terminal 308′. The ACS terminal 308′ provides an electrical connection to the ACS 308 (not shown in FIG. 3C, but shown in FIGS. 3A and 3B) and to the body 312. Although the ACS terminal 308′ is shown in FIG. 3C as being physically coupled to the body 312, those skilled in the electronic design arts shall understand that this depiction is for illustrative purposes only. The direct coupling of the ACS terminal 308′ to the body 312 shown in FIG. 3C illustrates the electrical connectivity (i.e., not the physical coupling) of the terminal 308′ with the body 312. Similarly, the other terminals (i.e., terminals 302′, 304′ and 306′) are also shown in FIG. 3C as being physically coupled to their respective FET component regions. These depictions are also for illustrative purposes only.

In most embodiments, as described above with reference to FIGS. 3A-3B, and described further below with reference to FIGS. 3D-3K, the ACS terminal 308′ provides the electrical connection to the body 312 via coupling to the ACS 308 via the electrical contact region 310. However, the present disclosure also contemplates embodiments where the coupling of the ACS terminal 308′ is made directly to the body 312 (i.e., no intermediate regions exist between the ACS terminal 308′ and the body 312).

In accordance with the disclosed method and apparatus, when the ACC NMOSFET 300′ is biased to operate in the accumulated charge regime (i.e., when the ACC NMOSFET 300′ is in the off-state, and there is an accumulated charge 120 of P polarity (i.e., holes) present in the channel region of the body 312), the accumulated charge is removed or otherwise controlled via the ACS terminal 308′. When accumulated charge 120 is present in the body 312, the charge 312 can be removed or otherwise controlled by applying a bias voltage (Vb (for “body”) or VACS (ACS bias voltage)) to the ACS terminal 308′. In general, the ACS bias voltage VACS applied to the ACS terminal 308′ may be selected to be equal to or more negative than the lesser of the source bias voltage Vs and drain bias voltage Vd. More specifically, in some embodiments, the ACS terminal 308′ can be coupled to various accumulated charge sinking mechanisms that remove (or “sink”) the accumulated charge when the FET operates in the accumulated charge regime. Several exemplary accumulated charge sinking mechanisms and circuit configurations are described below with reference to FIGS. 4A-5D.

Similar to the prior art NMOSFET 100 described above with reference to FIG. 1, the ACC SOI NMOSFET 300′ of FIG. 3C can be biased to operate in the accumulated charge regime by applying specific bias voltages to the various terminals 302′, 304′, and 306′. In one exemplary embodiment, the source and drain bias voltages (Vs and Vd, respectively) are zero (i.e., the terminals 304′ and 306′ are connected to ground). In this example, if the gate bias voltage (Vg) applied to the gate terminal 302′ is sufficiently negative with respect to the source and drain bias voltages, and with respect to Vth (for example, if Vth is approximately zero, and if Vg is more negative than approximately −1 V), the ACC NMOSFET 300′ operates in the off-state. If the ACC NMOSFET 300′ continues to be biased in the off-state, the accumulated charge (holes) will accumulate in the body 312. Advantageously, the accumulated charge can be removed from the body 312 via the ACS terminal 308′. In some embodiments, as described below in more detail with reference to FIG. 4B, the ACS terminal 308′ is coupled to the gate terminal 302′ (thereby ensuring that the same bias voltages are applied to both the gate (Vg) and the body (shown in FIG. 3C as “Vb” or “VACS”).

However, those skilled in the electronics design arts shall appreciate that a myriad of bias voltages can be applied to the four device terminals while still employing the techniques of the present disclosed method and apparatus. As long as the ACC SOI NMOSFET 300′ is biased to operate in the accumulated charge regime, the accumulated charge can be removed or otherwise controlled by applying a bias voltage VACS to the ACS terminal 308′, and thereby remove the accumulated charge from the body 312.

For example, in one embodiment wherein the ACC NMOSFET 300′ comprises a depletion mode device, Vth is negative by definition. In this embodiment if both the Vs and Vd bias voltages comprise zero volts (i.e., both terminals tied to circuit ground node), and a gate bias Vg applied to the gate terminal 302′ is sufficiently negative to Vth (for example, Vg is more negative than approximately −1 V relative to Vth), holes may accumulate under the gate oxide 110 thereby becoming the accumulated charge 120. In this example, in order to remove the accumulated holes (i.e., the accumulated charge 120) from the FET body 312, the voltage VACS applied to the ACS 308 may be selected to be equal to or more negative than the lesser of Vs and Vd.

In other examples, the source and drain bias voltages, Vs and Vd, respectively, may comprise voltage other than zero volts. According to these embodiments, the gate bias voltage Vg must be sufficiently negative to both Vs and Vd (in order for Vg to be sufficiently negative to Vth, for example) in order to bias the NMOSFET in the off-state. As described above, if the NMOSFET is biased in the off-state for a sufficiently long time period (approximately 1-2 ms, for example) an accumulated charge will accumulate under the gate oxide. In these embodiments, as noted above, in order to remove the accumulated charge 120 from the body 312, the ACS bias voltage VACS applied to the ACS terminal 308′ may be selected to be equal to or more negative than the lesser of Vs and Vd.

It should be noted that, in contrast to the examples described above, the prior art body contacts are implemented largely for purposes of mitigating the adverse effects caused by impact ionization. Consequently, the prior art body contacts are typically tied to the source of the MOSFET. In order to effectively control, reduce, or entirely remove the accumulated charge in an NMOSFET, VACS should, in the exemplary embodiments, be equal to or more negative than the lesser of Vs and Vd. Those skilled in the electronic device design arts shall appreciate that different Vs, Vd, Vg and VACS bias voltages may be used when the ACC MOSFET comprises a PMOSFET device. Because the prior art body contacts are typically tied to the source, this implementation cannot be effected using the prior art body contact approach.

FIG. 3D is a simplified schematic diagram of a top view of an ACC SOI NMOSFET 300″ adapted to control accumulated charge 120 (FIG. 1) in accordance with the present disclosure. FIG. 3D shows the ACC NMOSFET 300″ without its gate contact 301, gate 302, and gate oxide being visible. The ACC NMOSFET 300″ of FIG. 3D is very similar in design to the ACC NMOSFET 300 described above with reference to FIGS. 3A and 3B. For example, similar to the ACC NMOSFET 300, the ACC NMOSFET 300″ includes a source 304 and drain 306 comprising N+ regions. The ACC NMOSFET 300″ also includes an accumulated charge sink (ACS) 308 comprising a P− region. As shown in FIG. 3D, the P− region that comprises the ACS 308 abuts (i.e., is directly adjacent) the body 312, which also comprises a P− region. Similar to the ACC NMOSFET 300, the ACC NMOSFET 300″ includes an electrical contact region 310 that provides electrical connection to the ACS 308. As noted above, in some embodiments, the electrical contact region 310 comprises a P+ region. In another embodiment, the electrical contact region 310 may comprise an N+ region (which thereby prevents positive current flow into the body 312 as noted above). As shown in FIG. 3D, the electrical contact region 310 is formed in the ACC NMOSFET 300″ directly adjacent the ACS 308. The ACC SOI NMOSFET 300″ functions to control accumulated charge similarly to the operation of the ACC NMOSFETs described above with reference to FIGS. 3A-3C.

FIG. 3E is a simplified schematic diagram of a top view of an ACC SOI NMOSFET 300′″ adapted to control accumulated charge in accordance with the present disclosure. The ACC NMOSFET 300′″ is very similar in design and function to the ACC NMOSFETs described above with reference to FIGS. 3A-3D. FIG. 3E shows a dashed cross-sectional view line A-A′ taken along the approximate center of the NMOSFET 300″. This cross-sectional view is used herein to describe structural and performance characteristics of some exemplary prior art MOSFETS and some embodiments of the ACC NMOSFET that may occur as a result of the fabrication processes. Details of this cross-sectional view A-A′ are now described with reference to FIG. 3F.

View line A-A′ slices through the following component regions of the ACC NMOSFET 300′″: the P+ electrical contact region 310, the ACS 308 (shown in FIG. 3E, but not shown in FIG. 3F), a P+ overlap region 310′, a gate oxide 110, and a poly-silicon gate 302. In some embodiments, during the fabrication process, when the region 310 is doped with p-type dopant material, proximate the P− body region, some additional P+ doping may be implanted (i.e., the p-type dopant material may overlap) into the P+ overlap region 310′ of the poly-silicon gate 302. In some embodiments, such overlapping is performed intentionally to ensure that all of the gate oxide 110 is completely covered by the P+ region (i.e., to ensure that no gap exists on the edge of the oxide 110 between the gate 302 and the P+ region 310). This, in turn, aids in providing a minimum impedance connection between the P+ region 310 and the body 312.

Although the present teachings encompass such embodiments described above, those skilled in the electronic device design and manufacturing arts shall recognize that such low-resistance connections are not required. Therefore, disadvantages associated with the embodiment shown in FIG. 3H, as described below in more detail, can be overcome by using other embodiments described herein (for example, the embodiments 300 and 300″″ described below with reference to FIGS. 3G and 3J, respectively), in which gaps are intentionally implemented between the P+ region 310 and the body 312. In one exemplary embodiment, the P+ overlap region 310′ overlaps the oxide 110 by approximately 0.2-0.7 microns. Those skilled in the MOSFET design and manufacturing arts shall appreciate that other overlap region dimensions can be used in practicing the present disclosed method and apparatus. In some embodiments, as shown in FIG. 3F, for example, the remaining area over the gate oxide 110 and over the P− body is doped with n-type dopant material (i.e., it comprises an N+ region).

Referring again to FIG. 3F, owing to the presence of the P+ overlap region 310′ over the gate oxide 110, over the body 312, and proximate an edge 340 of the poly-silicon gate 302, an increased threshold voltage region is created in the NMOSFET 300′″. More specifically, due to the P+ doping (in the P+ overlap region 310′) proximate the edge 340 of the gate 302 over the channel region of the body 312, a region of increased threshold voltage is formed in that region of the MOSFET 300′″. The effects of the region of increased threshold voltage are now described in more detail with reference to FIGS. 3H and 3I.

FIG. 3I shows a plot 380 of inversion channel charge versus applied gate voltage for an ACC NMOSFET. The plot 380 shown in FIG. 3I illustrates one effect of the above-described increased threshold voltage that can occur in prior art MOSFETs, and in some embodiments of the present ACC NMOSFETs due to certain manufacturing processes. As described in more detail below, the increased threshold voltage region, shown in FIG. 3H and described in more detail below, also occurs in prior art MOSFET designs due to the proximity of body ties to the FET body. As described below in more detail with reference to FIG. 3J, for example, the present disclosed method and apparatus can be used to reduce or eliminate the region of increased threshold voltage found in some prior art SOI MOSFET designs.

FIG. 3H shows one embodiment of an ACC NMOSFET without its gate contact, gate, and gate oxide being visible. The MOSFET region of increased threshold voltage described above with reference to FIGS. 3E and 3F is shown in FIG. 3H as occurring in the region encompassed by the ellipse 307. As will be well understood by those skilled in the electronic design and manufacturing arts, for the reasons set forth above with reference to FIGS. 3E and 3F, due to the increased threshold voltage, the region 307 of the ACC MOSFET shown in FIG. 3H effectively “turns on” after the rest of the ACC MOSFET channel region.

The increased threshold voltage can be reduced by reducing the size of the region 307. Eliminating the region 307 altogether eliminates the threshold voltage increase. Because the threshold voltage increase can increase harmonic and intermodulation distortion of the “on” state MOSFET, eliminating this effect improves MOSFET performance. The increased threshold voltage also has the detrimental effect of increasing the MOSFET on-resistance (i.e., the resistance presented by the MOSFET when it is in the on-state (conducting state), which detrimentally impacts the MOSFET insertion loss.

In one exemplary embodiment, as shown, for example in the embodiments of the ACC NMOSFET 300 described above with reference to FIGS. 3A and 3B, and as described below in more detail with reference to the cross-sectional view of the ACC MOSFET 300 of FIG. 3G, the detrimental effects associated with threshold voltage increase are mitigated or overcome by positioning the P+ region 310 a selected distance away from an edge of the poly-silicon gate 302. This approach is shown both in the top view of the ACC MOSFET 300 of FIG. 3A, and in the cross-sectional view of the ACC MOSFET 300 shown in FIG. 3G. As shown in the cross-sectional view of the ACC MOSFET 300 of FIG. 3G, the P+ region 310 does not extend all the way to the edge 340 of the poly-silicon gate 302. This is in stark contrast to the embodiment 300′″ shown in FIG. 3F, where the P+ region 310′ extends all the way to the gate edge 340. By positioning the P+ region 310 a distance away from the gate edge 340 as shown in the embodiment 300 of FIG. 3G, no P+ region is positioned proximate the poly-silicon gate 302 (i.e., there is no P+ region present in the poly-silicon gate 302).

This configuration of the P+ region 310 eliminates or greatly reduces the problems associated with threshold voltage increase as described above. As described above with reference to FIGS. 3A and 3B, and with reference to the comparisons to the prior art body contact references, the relatively high impedance of the ACS 308 P− region (shown in FIG. 3A) between the P+ region 310 and the gate 302 does not adversely affect the performance of the ACC NMOSFET 300. As described above, the accumulated charge can be effectively removed even using a relatively high impedance ACS connection.

In another exemplary embodiment, as described below with reference to FIG. 3J, the threshold voltage increase is removed by positioning the P+ region 310 (and the ACS 308) a distance away from the body 312. Because the electrical connectivity between the ACS 308 and the body 312 has relatively high impedance when the small region of P+ 310 is positioned a distance away from the body 312, this approach is never taught or suggested by the body contact prior art references (which require low impedance contacts as described above). This improved embodiment is described next with reference to FIG. 3J.

FIG. 3J is a simplified top view schematic of another embodiment of an ACC SOI NMOSFET 300″″ adapted to control accumulated charge and configured in a “T-gate” configuration. FIG. 3J shows the ACC NMOSFET 300″″ without its gate contact 301, gate 302, and gate oxide being visible. The gate (not shown in FIG. 3J) and the body 312 are configured as “supporting” members of the “T-gate” configured ACC MOSFET 300″″ (i.e., they comprise the “bottom” portion of the “T-shaped” FET). These “supporting” members “support” the “supported” member of the T-gate configured MOSFET 300″, which comprises the ACS 308 as shown in FIG. 3J (i.e., the ACS 308 comprises the “top” portion of the “T-shaped” FET). As shown in FIG. 3J, the ACC NMOSFET 300″″ includes a small P+ region 310 conjoined to an ACS 308. As shown in FIG. 3J, the P+ region 310 (and thus the ACS external electrical connection) is disposed a selected distance away from the body 312. The total impedance of the electrical connection from the body 312, through the ACS 308, and to the P+ region 310 is increased by positioning the P+ region 310 a selected distance away from the body 312. However, as described above, the present ACC NMOSFET 300″″ works perfectly well to remove accumulated charge even using relatively high impedance ACS connections. For the reasons described above with reference to FIGS. 3A and 3B, due to the nature of the accumulated charge when the NMOSFET 300″″ operates in the accumulated charge regime, the ACC NMOSFET 300″″ does not require low impedance ACS electrical connections in order to remove accumulated charge from the body 312. Rather, an ACS connection of relatively large impedance may be used in practicing the present teachings, with corresponding improvements in NMOSFET performance as described above (e.g., reductions in parasitic capacitance as compared with prior art low impedance body contacts). However, in other embodiments, if desired, a low impedance ACS connection may be used to practice the disclosed method and apparatus for use in improving linearity characteristics of SOI MOSFETs.

Moreover, as described above with reference to FIG. 3H, the embodiment of FIG. 3J improves device performance owing to the fact that the small P+ region 310 is positioned a distance away from the body 312. Because the small P+ region 310 is positioned a distance away from the body 312, the threshold voltage increase is reduced or entirely eliminated, together with the consequent adverse performance effects described above.

FIG. 3K is a simplified top view schematic of another embodiment of an ACC SOI NMOSFET 300′″″ adapted to control accumulated charge and configured in an “H-gate” configuration. FIG. 3K shows the ACC NMOSFET 300′″″ without its gate contact 301, gate 302, and gate oxide being visible. With the exception of some structural differences described herein, the ACC NMOSFET 300′″″ is very similar in design and function to the ACC NMOSFETs described above with reference to FIGS. 3A-3D and 3J. As shown in FIG. 3K, the ACC NMOSFET 300′″″ includes two ACSs, 308 and 308″, disposed at opposite ends of the H-gate ACC NMOSFET 300′″″. P+ regions 310 and 310″ are formed to abut their respective ACSs, 308 and 308″, and provide electrical contact thereto. In accordance with the disclosed method and apparatus, as described above, when the ACC NMOSFET 300′″″ is biased to operate in the accumulated charge regime, the accumulated charge is removed or otherwise controlled via the two ACSs 308 and 308″.

It shall be understood by those skilled in the electronic device design arts that although the illustrated embodiment shows the ACSs 308 and 308″ extending approximately the entire width of the ACC NMOSFET 300′″″, the ACSs 308 and 308″ may also comprise much narrower (or wider) regions, and still function perfectly well to remove or otherwise control the accumulated charge. Also, in some embodiments, it is not necessary that the impedance of the ACS 308 matches the impedance of the ACS 308″. It will further be understood by the skilled person that the ACSs 308 and 308″ may comprise different sizes and configurations (i.e., rectangular, square, or any other convenient shape), and may also be positioned at various distances away from the body 312 (i.e., not necessarily the same distance away from the body 312). As described above with reference to FIG. 3J, when the ACS 308 is positioned a selected distance away from the body 312, the problems associated with threshold voltage increase are reduced or eliminated.

Four-Terminal ACC MOSFET Devices—Simple Circuit Configurations

The SOI NMOSFET 300 of FIGS. 3A and 3B may be implemented as a four terminal device, as illustrated schematically in FIG. 4A. As shown in the improved ACC SOI NMOSFET 300 of FIG. 4A, a gate terminal 402 is electrically coupled to the gate contact 301 (e.g., FIG. 3A) and is analogous to the gate terminal 302′ shown in FIG. 3C. The gate contact 301 is electrically coupled to the gate 302 (e.g., FIGS. 3A and 3C). Similarly, a source terminal 404 is electrically coupled to the source 304 (e.g., FIGS. 3A-3C) and is analogous to the source terminal 304′ of FIG. 3C. Similarly, a drain terminal 406 is electrically coupled to the drain 306 (e.g., FIGS. 3A-3C) and is analogous to the drain terminal 306′ of FIG. 3C. Finally, the ACC NMOSFET 300 includes an ACS terminal 408 that is electrically coupled to the ACS 308 (e.g., see FIGS. 3A-3B, and FIGS. 3D, 3J-3K) via the region 310. Those skilled in the electronic design and manufacturing arts shall understand that the region 310 may be used in some embodiments to facilitate electrical coupling to the ACS 308 because, in some embodiments, it may be difficult to make a direct contact to a lightly doped region (i.e., the ACS 308). The ACS terminal 408 is analogous to the ACS terminal 308′ shown in FIG. 3C.

The ACC SOI NMOSFET 300 of FIG. 4A may be operated using various techniques and implemented in various circuits in order to control accumulated charge present in the FET when it is operating in an accumulated charge regime. For example, in one exemplary embodiment as shown in FIG. 4B, the gate and ACS terminals, 402 and 408, respectively, are electrically coupled together. In one embodiment of the simplified circuit shown in FIG. 4B, the source and drain bias voltages applied to the terminals 404 and 406, respectively, may be zero. If the gate bias voltage (Vg) applied to the gate terminal 402 is sufficiently negative with respect to the source and drain bias voltages applied to the terminals 404 and 406, and with respect to the threshold voltage Vth, (for example, if Vth is approximately zero, and if Vg is more negative than approximately −1 V) the ACC NMOSFET 300 operates in the accumulated charge regime. As described above with reference to FIG. 3C, for example, when the MOSFET operates in this regime, accumulated charge (holes) may accumulate in the body of the NMOSFET 300.

Advantageously, the accumulated charge can be removed via the ACS terminal 408 by connecting the ACS terminal 408 to the gate terminal 402 as shown. This configuration ensures that when the FET 300 is in the off-state, it is held in the correct bias region to effectively remove or otherwise control the accumulated charge. As shown in FIG. 4B, connecting the ACS terminal 408 to the gate ensures that the same bias voltages are applied to both the gate (Vg) and the body (shown in FIG. 3C as “Vb” or “VACS”). Because the bias voltage VACS is the same as the gate voltage Vg in this embodiment, the accumulated charge is no longer trapped below the gate oxide (by attraction to the gate bias Vg) because it is conveyed to the gate terminal 402 via the ACS terminal 408. The accumulated charge is thereby removed from the body via the ACS terminal 408.

In other exemplary embodiments, as described above with reference to FIG. 3C, for example, Vs and Vd may comprise nonzero bias voltages. According to these examples, Vg must be sufficiently negative to both Vs and Vd in order for Vg to be sufficiently negative to Vth to turn the NMOSFET 300 off (i.e., operate the NMOSFET 300 in the off-state). When so biased, as described above, the NMOSFET 300 may enter the accumulated charge regime and thereby have accumulated charge present in the body. For this example, the voltage VACS may also be selected to be equal to Vg by connecting the ACS terminal 408 to the gate terminal 402, thereby conveying the accumulated charge from the body of the ACC NMOSFET, as described above.

In another exemplary embodiment, as described above, the ACC NMOSFET 300 comprises a depletion mode device. In this embodiment, the threshold voltage, Vth is, by definition, less than zero. For Vs and Vd both at zero volts, when a gate bias Vg sufficiently negative to Vth is applied to the gate terminal 402 (for example, Vg more negative than approximately −1 V relative to Vth), holes may accumulate under the gate oxide and thereby comprise an accumulated charge. For this example, the voltage VACS may also be selected to be equal to Vg by connecting the ACS terminal 408 to the gate terminal 402, thereby conveying the accumulated charge from the ACC NMOSFET as described above.

In some embodiments of the improved ACC SOI NMOSFET 300, such as that described above with reference to FIG. 4B, when the FET is biased on, diodes formed at the edge of the device (such as described above with reference to the interface between the ACS 308 and the drain 304 (and the source 306) as shown in FIG. 3D) may become forward biased thereby allowing current to flow into the source and drain regions. In addition to wasting power, this may introduce nonlinearity into the NMOSFET. The nonlinearity results because the current that flows as a result of the forward biased interface diodes comprises nonlinear current. As Vgs and Vgd are reduced in that region of the device, the on resistance Ron at the edge of the device is increased. As is well known, and for the reasons set forth above, if the interface diodes formed at the edge of the device become forward biased, the device on-state characteristics are consequently dramatically adversely affected. Those skilled in the electronic device design arts shall understand that the configuration shown in FIG. 4B limits application of a gate bias voltage Vgs to approximately 0.7 Volts. The simplified circuit shown in FIG. 4C can be used to overcome these problems.

Another exemplary simplified circuit using the improved ACC SOI NMOSFET 300 is shown in FIG. 4C. As shown in FIG. 4C, in this embodiment, the ACS terminal 408 may be electrically coupled to a diode 410, and the diode 410 may, in turn, be coupled to the gate terminal 402. This embodiment may be used to prevent a positive current flow into the MOSFET body 312 caused by a positive Vg-to-Vs (or, equivalently, Vgs, where Vgs=Vg−Vs) bias voltage, as may occur, for example, when the SOI NMOSFET 300 is biased into an on-state condition.

As with the device shown in FIG. 4B, when biased off, the ACS terminal voltage VACS comprises the gate voltage plus a voltage drop across the diode 410. At very low ACS terminal current levels, the voltage drop across the diode 410 typically also is very low (e.g., <<500 mV, for example, for a typical threshold diode). The voltage drop across the diode 410 can be reduced to approximately zero by using other diodes, such as a 0Vf diode, for example. In one embodiment, reducing the voltage drop across the diode is achieved by increasing the diode 410 width. Additionally, maintaining the ACS-to-source or ACS-to-drain voltage (whichever bias voltage of the two bias voltages is lower) increasingly negative, also improves the linearity of the ACC MOSFET device 300.

When the SOI NMOSFET 300 is biased in an on condition, the diode 410 is reverse-biased, thereby preventing the flow of positive current into the source and drain regions. The reverse-biased configuration reduces power consumption and improves linearity of the device. The circuit shown in FIG. 4C therefore works well to remove accumulated charge from the ACC MOSFET body when the FET is in the off-state and is operated in the accumulated charge regime. It also permits almost any positive voltage to be applied to the gate voltage Vg. This, in turn, allows the ACC MOSFET to effectively remove accumulated charge when the device operates in the off-state, yet assume the characteristics of a floating body device when the device operates in the on-state.

With the exception of the diode 410 used to prevent the flow of positive current into the ACS terminal 408, exemplary operation of the simplified circuit shown in FIG. 4C is the same as the operation of the circuit described above with reference to FIG. 4B.

In yet another embodiment, the ACS terminal 408 may be coupled to a control circuit 412 as illustrated in the simplified circuit of FIG. 4D. The control circuit 412 may provide a selectable ACS bias voltage VACS that selectively controls the accumulated charge (i.e., the accumulated charge 120 described above with reference to FIG. 1). As shown in FIG. 4D, rather than having a local circuit provide the ACS bias voltage VACS (e.g., as derived from the gate voltage Vg), in some embodiments the ACS bias voltage VACS is produced by a separate source that is independent of the ACC MOSFET device 300. In the case of a switch (as described below in more detail with reference to FIG. 4E), the ACS bias voltage VACS should be driven from a source having a high output impedance. For example, such a high output impedance source can be obtained using a large series resistor in order to ensure that the RF voltage is divided across the MOSFET and that the ACS bias voltage VACS has Vds/2 “riding” on it, similarly to the gate voltage. This approach is described in more detail below with reference to FIG. 4E.

It may be desirable to provide a negative ACS bias voltage VACS to the ACS terminal 408 when the SOI NMOSFET 300 is biased into an accumulated charge regime. In this exemplary embodiment, the control circuit 412 may prevent positive current flow into the ACS terminal 408 by selectively maintaining an ACS bias voltage VACS that is consistently negative with respect to both the source and drain bias voltages. In particular, the control circuit 412 may be used to apply an ACS bias voltage that is equal to or more negative than the lesser of Vs and Vd. By application of such an ACS bias voltage, the accumulated charge is thereby removed or otherwise controlled.

In the exemplary embodiment of the simplified circuit shown in FIG. 4D, the source and drain bias voltages applied to the terminals 404 and 406, respectively, may be zero. If the gate bias voltage (Vg) applied to the gate terminal 402 is sufficiently negative with respect to the source and drain bias voltages applied to the terminals 404 and 406, and with respect to Vth, (for example, if Vth is approximately zero, and if Vg is more negative than approximately −1 V) the ACC NMOSFET 300 operates in the accumulated charge regime, and the accumulated charge (holes) may accumulate in the body of the ACC NMOSFET 300. Advantageously, the accumulated charge can be removed via the ACS terminal 408 by connecting the ACS terminal 408 to the control circuit 412 as shown. In order to ensure that the accumulated charge is conveyed from the body of the ACC NMOSFET 300, the ACS bias voltage VACS that is applied to the ACS terminal 408 should be equal to or more negative than the gate voltage and more negative than the lesser of Vs and Vd. Because the accumulated charge 120 is conveyed to the bias voltage VACS applied to the ACS terminal 408 by the control circuit 412, the accumulated charge does not remain trapped under the gate oxide due to attraction to the gate bias voltage Vg.

In other embodiments, Vs and Vd may comprise bias voltages that are other than zero. According to these examples, Vg must be sufficiently negative to both Vs and Vd in order for Vg to be sufficiently negative to Vth, in order to bias the NMOSFET 300 in the off-state. This allows the accumulation of accumulated charge under the gate oxide. For this example, the ACS bias voltage VACS may be selected to be equal to or more negative than the lesser of Vs and Vd by connecting the ACS terminal 408 to the control circuit 412 to provide selected ACS bias voltages, thereby conveying the accumulated charge from the ACC NMOSFET 300.

In other embodiments, if the ACC NMOSFET 300 of FIG. 4D comprises a depletion mode device, Vth is, by definition, less than zero. For Vs and Vd both at zero volts, when a gate bias Vg sufficiently negative to Vth is applied (for example, Vg more negative than approximately −1 V relative to Vth), holes may accumulate under the gate oxide. For this example, the ACS bias voltage VACS that is applied to the ACS terminal 408 may also be selected to be equal to or more negative than the lesser of Vs and Vd by connecting the ACS terminal 408 to the control circuit 412 and thereby provide the desired ACS bias voltages VACS that are necessary to remove the accumulated charge from the ACC NMOSFET 300.

As described above, in one embodiment, instead of having the control circuit 412 provide a bias to the ACS terminal 408 as shown in FIG. 4D, the ACS terminal 408 can be driven by a separate bias source circuit, as shown, for example, in the embodiment of FIG. 4E. In one exemplary circuit implementation, as exemplified in the circuit of FIG. 4E, in an RF switch circuit, the separate VACS source has a high output impedance element 403 which ensures that the RF voltage is divided across the ACC NMOSFET 300, and which further ensures that the voltage applied to the ACS terminal 408 has Vds/2 applied thereon, similar to the voltage Vgs that is applied to the gate terminal 402. In one exemplary embodiment, an inverter 405 is configured in series with the high output impedance element 403 and supplied by GND and −VDD. In one exemplary embodiment, −VDD is readily derived from a convenient positive voltage supply. It could, however, comprise an even more negative voltage for improved linearity (i.e., it can be independent of the gate voltage).

In another embodiment, the circuit shown in FIG. 4C can be modified to include a clamping circuit configured in series with an ACS terminal 408. Such an exemplary embodiment is shown in FIG. 4F. Under certain operating conditions, current that flows out of the ACC NMOSFET 300, conveying the accumulated charge from the body of the ACC NMOSFET 300, via the ACS terminal 408 is sufficiently high such that it causes problems in the biasing circuitry (i.e., under some conditions the ACS current is so high that the biasing circuitry cannot adequately sink the current flowing out of the body of the ACC NMOSFET 300). As shown in the circuit of FIG. 4F, one exemplary embodiment solves this problem by interrupting the flow of ACS current out of the body of the ACC NMOSFET 300, and thereby returning the ACC NMOSFET 300 to a floating body condition.

In one exemplary circuit, as shown in FIG. 4F, a depletion-mode FET 421 is configured in series between the ACS terminal 408 and a diode 410. In this exemplary circuit, the depletion-mode FET 421 includes a gate terminal that is electrically connected to the FET's source terminal. In this configuration, the depletion-mode FET 421 functions to clip or limit the current that flows from the ACS terminal 408 when the ACC MOSFET operates in the accumulated charge regime. More specifically, the depletion-mode FET 421 enters saturation upon reaching a predefined threshold. The current leaving the body of the ACC MOSFET is thereby limited by the saturation current of the FET 421. In some embodiments, the predefined saturation threshold may optionally be adjusted to change the point at which clamping occurs, such as by selecting a higher threshold voltage, which results in a lower maximum current and earlier clamping.

In some embodiments, such as for example in an RF switch circuit, the gate terminal 402 and the ACS terminal 408 follow Vds at half the rate (Vds/2) of Vds. At high Vds excursions, Vgs may approach the threshold voltage Vth, resulting in increased Ids leakage current. In some cases, such a leakage current exits the ACS terminal 408 and can overwhelm associated circuitry (e.g., a negative voltage generator). Hence, the circuit shown in FIG. 4F solves or otherwise mitigates these problems. More specifically, by coupling the FET 421 in series between the ACS terminal 408 and the diode 410, the current that exits the ACS terminal 408 is limited to the saturation current of the FET 421.

In yet another exemplary embodiment, the simplified circuit shown in FIG. 4C can be modified to include an AC shorting capacitor placed in parallel with the diode 410. The simplified circuit of FIG. 4G can be used to compensate for certain undesirable nonlinearities present in a full circuit application. In some embodiments, due to parasitics present in the MOSFET layout, nonlinearity characteristics existing in the diode 410 of FIG. 4C may introduce undesirable nonlinearities in a full circuit implementation. As the diode is in place to provide DC bias conditions and is not intended to have any AC signals across it, it may be desirable in some embodiments to take steps to mitigate the effects of any AC signal present across the diode 410.

As shown in the simplified circuit of FIG. 4G, the circuit of FIG. 4C has been modified to include an AC shorting capacitor 423 wherein the AC shorting capacitor 423 is configured in parallel across the diode 410. The AC shorting capacitor 423 is placed in parallel with the diode 410 to ensure that nonlinearities of the diode 410 are not excited by an AC signal. In some exemplary circuits, such as in an RF switch, the AC shorting capacitor 423 does not impact the higher level full circuit, as the gate terminal 402 and the ACS terminal 408 typically have the same AC signal applied (i.e., AC equipotential).

In some circuit embodiments, body nodes of a multi-finger FET implementation may be connected to one another (using, for example, metal or silicon), overlapping the source fingers. On another side of the FET implementation, gate nodes may be are connected to one another (using, for example, metal or silicon) overlapping the drain fingers. As a result of this FET implementation, additional capacitance may result between the source and body (S-B), and further additional capacitance may result between the drain and gate (D-G). These additional capacitances may degrade the symmetry of the intrinsic device. Under AC excitation, this results in the gate terminal following the drain terminal more closely, and the body terminal following the source terminal more closely, which effectively creates an AC signal across the diode 410, which can excite nonlinearities of the diode 410 as described above. Using the exemplary embodiment shown in FIG. 4G, parasitic nonlinear excitation due to the overlapping fingers is mitigated.

Improved Coff Performance Characteristics of ACC MOSFETs Made in Accordance with the Present Disclosed Method and Apparatus

FIG. 4H is a plot 460 of the off-state capacitance (Coff) versus an applied drain-to-source voltage of an SOI MOSFET when an AC signal is applied to the MOSFET (the plot 460 is relevant to an exemplary 1 mm wide MOSFET, though similar plots result using wider and narrower devices). In one embodiment, a gate voltage equals −2.5 Volts+Vd/2, and Vs equals 0. A first plot 462 shows the off-state capacitance Coff of a typical prior art NMOSFET operating within the accumulated charge regime and thereby having an accumulated charge as described above with reference to FIG. 1. As shown in FIG. 4H, the off-state capacitance Coff shown in plot 462 of the prior art FET is voltage-dependent (i.e., it is nonlinear) and peaks when Vd=0 Volts. A second plot 464 illustrates the off-state capacitance Coff of an improved ACC SOI MOSFET made in accordance with the present teachings, wherein the accumulated charge is conveyed from the ACC MOSFET, thereby reducing, controlling and/or eliminating the accumulated charge from the ACC MOSFET body. As shown in FIG. 4H, the off-state capacitance Coff shown in plot 464 of the ACC SOI MOSFET is not voltage-dependent (i.e., it is linear).

As described above with reference to FIG. 2A, by controlling, reducing or eliminating the accumulated charge, the impedance 212 of the NMOSFET body 312 (FIG. 3C, and shown as the MOSFET body 114 in the electrical model of FIG. 2A) is increased to a very large value. This increase in the impedance 212 of the MOSFET body reduces the contribution to Coff caused by the impedance of the junctions 218 and 220 (FIG. 2A), thereby reducing the overall magnitude of Coff and the nonlinear effects associated with the impedances of the junctions 218 and 220. Plot 464 illustrates how the present teachings advantageously reduce both the nonlinearity and overall magnitude of the off-state capacitance Coff of the MOSFET. The reduced nonlinearity and magnitude of the off-state capacitance Coff improves the performance of circuits using MOSFETs operating in an accumulated charge regime, such as RF switching circuits. Exemplary RF switching circuits implemented with the ACC MOSFETs described above with reference to FIGS. 4A-4G are now described with reference to FIGS. 5A-5D. Exemplary Improved Performance RF Switch Implementations Using ACC SOI MOSFETs in Accordance with the Present Teachings

FIG. 5A shows a schematic diagram of a single pole, single throw (SPST) RF switch circuit 500 in accordance with prior art. The RF switch circuit 500 is one example of a general class of well-known RF switch circuits. Similar RF switch circuits are described in the following co-pending and commonly assigned U. S. Applications and Patent: Provisional Application No. 60/651,736, filed Feb. 9, 2005, entitled “UNPOWERED SWITCH AND BLEEDER CIRCUIT:” application Ser. No. 10/922,135, filed Aug. 18, 2004, pending, which is a continuation application of application Ser. No. 10/267,531, filed Oct. 8, 2002, which issued Oct. 12, 2004 as U.S. Pat. No. 6,804,502, entitled “SWITCH CIRCUIT AND METHOD OF SWITCHING RADIO FREQUENCY SIGNALS”. Application Ser. No. 10/267,531, filed Oct. 8, 2002, which issued Oct. 12, 2004 as U.S. Pat. No. 6,804,502 claims the benefit of U.S. Provisional Application No. 60/328,353, filed Oct. 10, 2001. All of the above-cited applications and issued patent set forth above are hereby incorporated by reference herein as if set forth in full for their teachings on RF switch circuits including SOI MOSFET switch circuits.

Referring again to FIG. 5A, a switching SOI NMOSFET 506 is adapted to receive an RF input signal “RFin” at an input terminal 502. The switching SOI MOSFET 506 is electrically coupled to selectively couple the RFin input signal to an output terminal 504 (i.e., thereby convey an RF output signal Rfout at the output terminal 504). In the exemplary embodiment, the switching SOI NMOSFET 506 is controlled by a first control signal C1 that is conveyed by a control line 512 through a gate resistor 510 (optionally included for suppression of parasitic RF coupling). The control line 512 is electrically coupled to a control circuit 520, which generates the first control signal C1.

Referring again to FIG. 5A, a shunting SOI NMOSFET 508 is adapted to receive the RF input signal RFin at its drain terminal, and to selectively shunt the input signal RFin to ground via an optional load resistor 518. The shunting SOI NMOSFET 508 is controlled by a second control signal C1x which is conveyed by a control line 516 through a gate resistor 514 (optionally included for suppression of parasitic RF coupling and for purposes of voltage division). The control line 516 is electrically coupled to the control circuit 520, which generates the second control signal C1x.

The terms “switching” and “shunting”, as pertains to the transistors shown in FIG. 5A and also described below with reference to the RF switch circuits of FIGS. 5B-5D, 6, 8, and 9, are used interchangeably herein with the terms “switch” and “shunt”, respectively. For example, the switching transistor 506 (and all of its analogous switching transistors described below in FIGS. 5B-5D, 6, 8, and 9) is also referred to herein as the “switch” transistor. Similarly, the shunting transistor 508 (and all of its analogous shunting transistors described below in FIGS. 5B-5D, 6, 8, and 9) is also referred to herein as the “shunt” transistor. The terms “switch” and “switching” (and similarly the terms “shunt” and “shunting”), when used to describe the RF switch circuit transistors, are used interchangeably herein. Further, as described below in more detail with reference to FIG. 6, those skilled in the RF switching design and fabrication arts shall recognize that although the switch and shunt transistors are shown in FIGS. 5A-5D and FIG. 9 as comprising a single MOSFET, it shall be understood that they may comprise transistor groupings comprising one or more MOSFET transistors.

It will also be appreciated by those skilled in RF switch circuits that all of the exemplary switch circuits may be used “bi-directionally,” wherein the previously described input ports function as output ports, and vice versa. That is, although an exemplary RF switch may be described herein as having one or more input ports (or nodes) and one or more output ports (or nodes), this description is for convenience only, and it will be understood that output ports may, in some applications, be used to input signals, and input ports may, in some applications, be used to output signals. The RF switch circuits described with reference to FIGS. 2B, 4E, 5A-5D, 6, 8 and 9 are described herein as having “input” and “output” ports (or “nodes”) that input and output RF signals, respectively. For example, as described below in more detail with reference to FIG. 9, RF input node 905 and RF input node 907 are described below as inputting RF signals RF1 and RF2 respectively. RFC common port 903 is described below as providing an RF common output signal. Those skilled in the RF switch circuit design arts shall recognize that the RF switch is bidirectional, and that the previously described input ports function perfectly well as output ports, and vice versa. In the example of the RF switch of FIG. 9, the RFC common port can be used to input an RF signal which is selectively output by the RF nodes 905 and 907.

Referring again to FIG. 5A, the first and second control signals, C1 and C1x, respectively, are generated so that the switching SOI NMOSFET 506 operates in an on-state when the shunting SOI NMOSFET 508 operates in an off-state, and vice versa. These control signals provide the gate bias voltages Vg to the gate terminals of the NMOSFETs 506 and 508. When either of the NMOSFETs 506 or 508 is biased to select the transistor off-state, the respective Vg must comprise a sufficiently large negative voltage so that the respective NMOSFET does not enter, or approach, an on-state due to the time varying applied voltages of the RF input signal RFin. The maximum power of the RF input signal RFin is thereby limited by the maximum magnitude of the gate bias voltage Vg (or, more generally, the gate-to-source operating voltage, Vgs) that the SOI NMOSFETs 506 and 508 can reliably sustain. For RF switching circuits such as those exemplified herein, the magnitude of Vgs(max)=|Vg|+|Vds(max)/2|, where Vds=Vd−Vs, and Vds(max) comprises the maximum Vds due to the high-power input signal voltage levels associated with the RF input signal RFin.

Exemplary bias voltages for the switching and shunting SOI NMOSFETs 506 and 508, respectively, may include the following: with Vth approximately zero volts, Vg, for the on-state, of +2.5 V, and Vg, for the off-state, of −2.5 V. For these bias voltages, the SOI NMOSFETs may eventually operate in an accumulated charge regime when placed into their off-states. In particular, and as described above with reference to FIG. 2B, when the switching NMOSFET 506 is in the on-state, and the shunting NMOSFET 508 is biased in the off-state, the output signal RFout may become distorted by the nonlinear behavior of the off capacitance Coff of the shunting NMOSFET 508 caused by the accumulated charge. Advantageously, the improved ACC MOSFETs made in accordance with the present teachings can be used to improve circuit performance, especially as it is adversely affected by the accumulated charge.

FIG. 5B is a schematic of an improved RF circuit 501 adapted for higher performance using the present accumulated charge reduction and control techniques. The switch circuit 501 differs from the prior art circuit 500 (FIG. 5A) in that the shunting NMOSFET 508 is replaced by a shunting ACC NMOSFET 528 made in accordance with the present teachings. The shunting ACC NMOSFET 528 is analogous to the ACC NMOSFET described above with reference to FIGS. 4A and 4B. Similarly, the gate, source, drain and ACC terminals of the shunting ACC NMOSFET 528 are analogous to the respective terminals of the ACC NMOSFET 300. With the exception of the improved switch performance afforded by the improved shunting ACC NMOSFET 528, the operation of the RF switch circuit 501 is very similar to the operation of the RF switch circuit 500 described above with reference to FIG. 5A.

Exemplary bias voltages for the switching NMOSFET 526 and the shunting ACC NMOSFET 528 may include: with Vth approximately zero, Vg, for the on-state, of +2.5 V, and Vg, for the off-state, of −2.5 V. For these bias voltages, the SOI NMOSFETs may operate in an accumulated charge regime when placed into the off-state. However, when the switching NMOSFET 526 is in the on-state and the shunting ACC NMOSFET 528 is in the off-state, the output signal RFout at the output terminal 505 will not be distorted by nonlinear behavior of the off-state capacitance Coff of the improved shunting ACC NMOSFET 528 due to the accumulated charge. When the shunting ACC NMOSFET 528 operates in the accumulated charge regime, the accumulated charge is removed via the ACS terminal 508′. More specifically, because the gate terminal 502′ of the shunting ACC NMOSFET 528 is connected to the ACS terminal 508′, the accumulated charge is removed or otherwise controlled as described above in reference to the simplified circuit of FIG. 4B. The control of the accumulated charge improves performance of the switch 501 by improving the linearity of the off transistor, shunting ACC NMOSFET 528, and thereby reducing the harmonic and intermodulation distortion of the RF output signal Rfout generated at the output terminal 505.

FIG. 5C is a schematic of another embodiment of an improved RF switch circuit 502 adapted for higher performance using the accumulated charge control techniques of the present disclosure. The switch circuit 502 differs from the prior art circuit 500 (FIG. 5A) in that the NMOSFET 508 is replaced by an ACC NMOSFET 528 made in accordance with the present teachings. The ACC NMOSFET 528 is analogous to the ACC NMOSFET 300 described above with reference to FIGS. 4A and 4C. Similarly, the gate, source, drain and ACC terminals of the ACC NMOSFET 528 are analogous to the respective terminals of the ACC NMOSFETs 300 described above with reference to FIGS. 4A and 4C. With the exception of the improved switch performance afforded by the improved ACC NMOSFET 528, the operation of the switch circuit 502 is very similar to the operations of the switch circuits 500 and 501 described above with reference to FIGS. 5A and 5B, respectively.

Exemplary bias voltages for the NMOSFET 526 and the ACC NMOSFET 528 may include the following: with Vth approximately zero volts, Vg, for the on-state, of +2.5 V, and Vg, for the off-state, of −2.5 V. For these bias voltages, the SOI NMOSFETs 526, 528 may operate in an accumulated charge regime when placed into an off-state. However, when the NMOSFET 526 is in the on-state and the ACC NMOSFET 528 is in the off-state, the output signal RFout will not be distorted by nonlinear behavior of the off-state capacitance Coff of the ACC NMOSFET 528 due to the accumulated charge. Because the gate terminal 502′ of the ACC NMOSFET 528 is connected to the ACS terminal 508′ via a diode 509, the accumulated charge is entirely removed, reduced or otherwise controlled, as described above with reference to FIG. 4C. Similar to the improved switch 501 described above with reference to FIG. 5B, control of the accumulated charge improves performance of the switch 502 by improving the linearity of the off transistor, 528, and thereby reducing the harmonic and intermodulation distortion of the RF output signal Rfout output of the RF output terminal 505. Connection of the diode 509 as shown may be desired in some embodiments for suppression of positive current flow into the ACC NMOSFET 528 when it is biased into an on-state, as described above with reference to FIG. 4C.

FIG. 5D is a schematic of another embodiment of an improved RF switch circuit 503 adapted for higher performance using the present accumulated charge control techniques. The switch circuit 503 differs from the prior art circuit 500 (FIG. 5A) in that the NMOSFET 508 of FIG. 5A is replaced by an ACC NMOSFET 528 made in accordance with the present teachings. The ACC NMOSFET 528 is analogous to the ACC NMOSFET described above with reference to FIGS. 4A and 4D. With the exception of the improved switch performance afforded by the improved ACC NMOSFET 528, the operation of the switch circuit 503 is very similar to the operations of the switch circuits 500, 501 and 502 described above with reference to FIGS. 5A-5C, respectively.

Exemplary bias voltages for the NMOSFET 526 and the ACC NMOSFET 528 may include the following: with Vth approximately zero volts, Vg, for the on-state, of +2.5 V, and Vg, for the off-state, of −2.5 V. For these bias voltages, the SOI NMOSFETs 526, 528 may operate in an accumulated charge regime when placed into the off-state. However, when the NMOSFET 526 is in the on-state and the ACC NMOSFET 528 is in the off-state, the output signal RFout produced at the output terminal 505 will not be distorted by the nonlinear behavior of the off-state capacitance Coff of the ACC NMOSFET 528 due to the accumulated charge. When the NMOSFET 528 operates in the accumulated charge regime, the accumulated charge is removed via the ACS terminal 508′. More specifically, because the ACS terminal 508′ of the ACC NMOSFET 528 is electrically coupled to the control circuit 520 via the control line 517 (i.e., controlled by the control signal “C2” as shown), the accumulated charge can be eliminated, reduced or otherwise controlled by applying selected bias voltages to the ACS terminal 508′ as described above with reference to FIG. 4D. Those skilled in the arts of electronic circuit design shall understand that a wide variety of bias voltage signals can be applied to the ACS terminal for the purpose of reducing or otherwise controlling the accumulated charge. The specific bias voltages may be adapted for use in a particular application. The control of the accumulated charge improves performance of the switch 503 by improving the linearity of the off-state transistor, 528, and thereby reducing the harmonic and intermodulation distortion of the RF output signal Rfout generated at the output terminal 505.

In the circuits described above with respect to FIGS. 5B-5D, the switching SOI MOSFETs 526 are shown and described as implemented using SOI MOSFETs of the prior art (i.e., they do not comprise ACC MOSFETs and therefore do not have an ACS terminal). Those skilled in the electronic device design arts shall understand and appreciate that in other embodiments of the disclosed method and apparatus, the prior art switching SOI MOSFETs 526 may be replaced, as desired or required, by ACC SOI MOSFETs made in accordance with the present disclosure. For example, in some embodiments of RF switches implemented using the ACC MOSFET of the present teachings, the RF switch comprises a single-pole double-throw RF switch. In this embodiment, the switching SOI MOSFETs (e.g., analogous to the switching SOI MOSFETs 526 described above with reference to FIGS. 5B-5D) may comprise ACC SOI MOSFETs. Such an implementation prevents nonlinear behavior of the off-state switching SOI MOSFETs (which is turned off when it is not selected as an input “pole”) from detrimentally affecting the output of the RF signal as switched through the selected “pole”. Implementation of the RF switches using switching ACC MOSFETs reduces the magnitude, drift, and voltage dependency of the off capacitance Coff of the switching transistors. Consequently, and as described above in more detail, the switch performance characteristics, such as its isolation, insertion loss and drift characteristics, are also improved. This implementation is described in more detail below with reference to the RF switch circuit shown in FIG. 9. Many other examples will be apparent to those skilled in the arts of electronic circuits.

For example, as set forth above, although the exemplary RF switches have been described as being implemented using ACC SOI NMOSFET devices, they can also be implemented using ACC SOI PMOSFET devices. Further, although single-pole single-throw, and single-pole double-throw RF switches have been described above as examples of RF switches implemented in accordance with the present teachings, the present application encompasses any variation of single-pole multi-throw, multi-pole single-throw, and multi-pole multi-throw RF switch configurations. Those skilled in the RF switch design and fabrication arts shall recognize and appreciate that the present teachings can be used in implementing any convenient RF switch configuration design.

Exemplary RF Switch Implementation Using Stacked Transistors

In the exemplary embodiments of RF switch circuits described above, the switch circuits are implemented using a single SOI NMOSFET (e.g., the single SOI NMOSFET 506 of FIG. 5A, and the single SOI NMOSFET 526 of FIGS. 5B-5D) that selectively couples or blocks (i.e., electrically opens the circuit connection) the RF input signal to the RF output. Similarly, in the exemplary embodiments described above with reference to FIGS. 5A-5D, a single SOI NMOSFET (e.g., the single SOI NMOSFET 508 of FIG. 5A, and ACC SOI NMOSFET 528 of FIGS. 5B-5D) is used to shunt (FET in the on-state) or block (FET in the off-state) the RF input signal to ground. Commonly assigned U.S. Pat. No. 6,804,502, entitled “SWITCH CIRCUIT AND METHOD OF SWITCHING RADIO FREQUENCY SIGNALS”, issued Oct. 12, 2004, describes RF switch circuits using SOI NMOSFETs implemented with stacked transistor groupings that selectively couple and block RF signals.

One example of how stacked NMOSFETs may be implemented in accordance with the teachings of the present disclosure is illustrated in FIG. 6. An RF switch circuit 600 is analogous to the RF switch circuit 503 of FIG. 5D, wherein the single SOI NMOSFET 526 is replaced by a stack of SOI NMOSFETs 602, 604 and 606. Similarly, the single ACC SOI NMOSFET 528 is replaced by a stack of ACC SOI NMOSFETs 620, 622 and 624. The control signal C2 is provided to the ACS terminals of the ACC SOI NMOSFETs 620, 622 and 624 via optional resistors 626, 628, and 630, respectively. The resistors 626, 628, and 630 may optionally be included in order to suppress parasitic RF signals between the stacked ACC SOI NMOSFETs 620, 622, and 624, respectively. The RF switch circuit 600 operates analogously to the operation of the RF switch circuit 503 described above with reference to FIG. 5D.

Three stacked ACC SOI NMOSFETs are shown in each ACC NMOSFET stack in the exemplary stacked RF switch circuit 600 of FIG. 6. A plurality of three ACC NMOSFETs is shown for illustrative purposes only, however, those skilled in the integrated circuit design arts will understand that an arbitrary plurality may be employed according to particular circuit requirements such as power handling performance, switching speed, etc. A smaller or larger plurality of stacked ACC NMOSFETs may be included in a stack to achieve a desired operating performance.

Other stacked RF switch circuits, adapted for accumulated charge control, analogous to the circuits described above with reference to FIGS. 5B-5D, may also be employed. Implementations of such circuits shall be obvious from the teachings above to those skilled in the electronic device design arts, and therefore are not described further herein. Moreover, is shall be obvious to those skilled in the electronic device design arts that, although a symmetrically stacked (i.e., having an equal number of shunting and switching transistors) RF switch is shown in the stacked RF switch of FIG. 6, the present inventive ACC method and apparatus is not so limited. The present teachings can be applied in implementing both symmetrically and asymmetrically stacked (having an unequal number of shunting and switching transistors) RF switches. The designer will readily understand how to use the ACC MOSFETs of the present disclosure in implementing asymmetrical, as well as symmetrical, RF switch circuits.

Exemplary Method of Operation

FIG. 7 illustrates an exemplary method 700 of improving the linearity of an SOI MOSFET having an accumulated charge sink (ACS) in accordance with the present disclosure. The method 700 begins at a STEP 702, whereat an ACC SOI MOSFET having an ACS terminal is configured to operate in a circuit. The ACS terminal may be operatively coupled to the gate of the SOI MOSFET (as described above with reference to FIGS. 4B, 4C, 5B and 5C), or to a control circuit (as described above with reference to FIGS. 4D and 5D). In other embodiments, the ACS terminal may be operatively coupled to any convenient accumulated charge sinking mechanism, circuit, or device as is convenient to the circuit or system designer. The method then proceeds to a step 704.

At the STEP 704, the ACC SOI MOSFET is controlled, at least part of the time, so that it operates in an accumulated charge regime. In most embodiments, as described above, the ACC MOSFET is operated in the accumulated charge regime by applying bias voltages that place the FET in an off-state condition. In one exemplary embodiment, the ACC SOI MOSFET comprises an ACC SOI NMOSFET that is configured as part of a shunting circuit of an RF switch. According to this exemplary embodiment, the SOI NMOSFET may be operated in an accumulated charge regime after the shunting circuit is placed into an off-state by applying a negative bias voltage to the gate terminal of the ACC NMOSFET.

The method then proceeds to a STEP 706, whereat the accumulated charge that has accumulated in the channel region of the ACC MOSFET is removed or otherwise controlled via the ACS terminal. In this embodiment, the accumulated charge is conveyed to another circuit terminal and is thereby reduced or otherwise controlled. One such exemplary circuit terminal that can be used to convey the accumulated charge from the MOSFET body comprises a gate terminal of the ACC MOSFET (see, e.g., the description above with reference to FIGS. 4B, 4C, 5B and 5C). Another exemplary circuit terminal that can be used to remove or otherwise control the accumulated charge comprises the terminal of a control circuit (see, e.g., FIGS. 4D and 5D). As described in more detail above, removing or otherwise controlling the accumulated charge in the ACC MOSFET body improves the linearity of the off-state ACC MOSFET, which reduces the harmonic distortion and IMD of signals affected by the ACC MOSFET, and which, in turn, improves circuit and system performance. In RF switch circuits, improvements (in both linearity and magnitude) are made to the off capacitance of shunting ACC MOSFET devices, which, in turn, improves the performance of the RF switch circuits. In addition to other switch performance characteristics, the harmonic and intermodulation distortions of the RF switch are reduced using the ACC method and apparatus of the present teachings.

FIGS. 8 and 9 show schematics of additional exemplary embodiments of RF switching circuits made in accordance with the disclosed method and apparatus for use in improving linearity of MOSFETs having an ACS. As described in more detail below with reference to FIGS. 8 and 9, in some exemplary embodiments of RF switch circuits made in accordance with the present disclosure, it may be desirable to include drain-to-source resistors, Rds, and thereby improve some switch performance characteristics when the switch is used in a particular application. These exemplary RF switch circuits are now described in more detail.

Exemplary RF Switch Implementations Using Stacked Transistors Having Source to Drain Resistors

FIG. 8 shows one exemplary embodiment of an RF switch circuit 800 made in accordance with the present disclosure. As shown in FIG. 8, some embodiments of RF switches made in accordance with the present disclosure may include drain-to-source (Rds) resistors electrically connected to the respective sources and drains of the ACC MOSFETs. For example, the exemplary switch 800 of FIG. 8 includes drain-to-source Rds resistors 802, 804, and 806 electrically connected to the respective sources and drains of the shunting ACC SOI NMOSFETs 620, 622, and 624, respectively. Motivation for use of the drain-to-source Rds resistors is now described.

As shall be appreciated by skilled persons from the present teachings, removal of the accumulated charge via the ACS terminal causes current to flow from the body of the ACC SOI MOSFET. For example, when a hole current flows from the body of an ACC SOI MOSFET via the ACS, an equal electron current flows to the FET source and/or drain. For some circuits (e.g., the RF switch circuit of FIG. 8), the sources and/or drains of the ACC SOI NMOSFETs are connected to other SOI NMOSFETs. Because off-state SOI NMOSFETs have a very high impedance (e.g., in the range of 1 Gohm for a 1 mm wide SOI NMOSFET), even a very small drain-to-source current (e.g., in the range of 1 nA) can result in an unacceptably large drain-to-source voltage Vds across the ACC SOI NMOSFET in satisfaction of Kirchhoff's well known current and voltage laws. In some embodiments, such as that shown in the RF switch circuits of FIGS. 8 and 9, such resultant very large drain-to-source voltages Vds undesirably impacts reliability and linearity of the ACC SOI NMOSFET. The drain-to-source resistors Rds provide a path between the ACC FET drain and source whereby currents associated with controlling the accumulated charge may be conducted away from the sources and drains of ACC SOI NMOSFETs when implemented in series with high impedance elements such as other ACC SOI NMOSFETs.

Exemplary operating voltages for the NMOSFETs 602-606 of FIG. 8, and the ACC NMOSFETs 620-624, may include the following: Vth approximately zero volts, Vg, for the on-state, of +2.5 V, and Vg, for the off-state, of −2.5 V. In an exemplary embodiment, the ACC SOI NMOSFET 622 of FIG. 8 may have a width of 1 mm, and an electron-hole pair generation rate for accumulated charge producing a current of 10 pA/μm for operation in the accumulated charge regime. For the electron current supplied equally by the source and drain, and an impedance of the ACC SOI NMOSFETs 620 and 622 on the order of 1 Gohm, then an unacceptable bias of −5 V would result on the source and drain of the ACC SOI NMOSFET 622 without the presence of Rds resistors 802 and 806. This bias voltage would also be applied to the interior nodes of the ACC SOI NMOSFETs 620 and 624.

Even currents smaller than the exemplary currents may produce adverse affects on the operation of the RF switching circuit 800 by reducing Vgs and/or Vgd of the ACC SOI MOSFETs 620-624 in the off-state, thereby reducing the power handling capability and reliability of the circuit by increasing leakage (e.g., when either Vgs or Vgd approaches Vth), by increasing hot-carrier damage caused by excess leakage, etc. Linearity of the MOSFETs is also degraded by reducing Vgs and/or Vgd when either value approaches Vth.

Exemplary values for the Rds resistors 802 to 806 may be selected in some embodiments by selecting a value approximately equal to the resistance of the gate resistors 632-636 divided by the number of ACC SOI NMOSFETs in the stack (in the exemplary embodiment, there are three ACC FETs in the stack). More generally, the value of the Rds resistors may be equal to the gate resistor value divided by the number of ACC SOI NMOSFETs in the stack. In one example, a stack of eight ACC SOI NMOSFETs may have gate resistors of 80 kohm and Rds resistors of 10 kohm.

In some embodiments, the Rds resistors may be selected so that they do not adversely affect switch performance characteristics, such as, for example, the insertion loss of the switch 800 due to the off-state ACC SOI NMOSFETs. For example, for a net shunt resistance greater than 10 kohm, the insertion loss is increased by less than 0.02 dB.

In other embodiments, the Rds resistors may be implemented in circuits comprising a single ACC SOI MOSFET (as contrasted with the stacked shunting configuration exemplified in FIG. 8 by the shunting ACC FETs 620, 622 and 624). For example, such circuits may be desirable if there are other high-impedance elements configured in series with an ACC SOI MOSFET that may cause a significant bias voltage to be applied to the source or drain as a result of the current flow created when removing or otherwise controlling accumulated charge. One exemplary embodiment of such a circuit is shown in FIG. 9.

FIG. 9 shows an exemplary single-pole double-throw (SPDT) RF switch circuit 900 made in accordance with the present teachings. As shown in FIG. 9, a DC blocking capacitor 904 is connected to a first RF input node 905 that receives a first RF input signal RF1. Similarly, a DC blocking capacitor 906 is connected to a second RF input node 907 that receives a second RF input signal RF2. Further, a DC blocking capacitor 902 is electrically connected to an RF common output node 903 that provides an RF common output signal (RFC) selectively conveyed to the node RFC 903 by the switch circuit 900 from either the first RF input node 905 or the second RF input node 907 (i.e., RFC either outputs RF1 or RF2, depending upon the operation of the switch as controlled by the control signals C1 and C1x described below in more detail).

A first control signal C1 is provided to control the operating states of the ACC SOI NMOSFETs 526 and 528′ (i.e., C1 selectively operates the FETs in the on-state or the off-state). Similarly, a second control signal C1x is provided to control the operating states of the ACC SOI NMOSFETs 528 and 526′. As is well known, and as described for example in the above incorporated commonly assigned U.S. Pat. No. 6,804,502, the control signals C1 and C1x are generated so that the ACC SOI NMOSFETs 526 and 528′ are in an on-state when the ACC SOI NMOSFETs 528 and 526′ are in an off-state, and vice versa. This configuration allows the RF switch circuit 900 to selectively convey either the signal RF1 or RF2 to the RF common output node 903.

A first ACS control signal C2 is configured to control the operation of the ACS terminals of the SOI NMOSFETs 526 and 528′. A second ACS control signal C2x is configured to control the ACS terminals of the ACC SOI NMOSFETs 528 and 526′. The first and second ACS control signals, C2 and C2x, respectively, are selected so that the ACSs of the associated and respective NMOSFETs are appropriately biased in order to eliminate, reduce, or otherwise control their accumulated charge when the ACC SOI NMOSFETs operate in an accumulated charge regime.

As shown in the RF switch circuit 900 of FIG. 9, in some embodiments, an Rds resistor 908 is electrically connected between the source and drain of the switching ACC NMOSFET 526. Similarly, in some embodiments, an Rds resistor 910 is electrically connected between the source and drain of the switching ACC NMOSFET 526′. According to this example, the circuit 900 is operated so that either the shunting ACC NMOSFET 528 or the shunting ACC NMOSFET 528′ operate in an on-state at any time (i.e., at least one of the input signals RF1 at the node 905 or RF2 at the node 907 is always conveyed to the RFC node 903), thereby providing a low-impedance path to ground for the node 905 or 907, respectively. Consequently, either the Rds resistor 908 or the Rds resistor 910 provides a low-impedance path to ground from the RF common node 903, thereby preventing voltage bias problems caused as a result of ACC current flow into the nodes 903, 905 and 907 that might otherwise be caused when using the DC blocking capacitors 902, 904 and 906.

Additional Exemplary Benefits Afforded by the ACC MOSFETs of the Present Disclosure

As described above, presence of the accumulated charge in the bodies of the SOI MOSFETs can adversely affect the drain-to-source breakdown voltage (BVDSS) performance characteristics of the floating body MOSFETs. This also has the undesirable effect of worsening the linearity of off-state MOSFETs when used in certain circuits such as RF switching circuits. For example, consider the shunting SOI NMOSFET 528 shown in FIG. 9. Further consider the case wherein the shunting NMOSFET 528 is implemented with a prior art SOI NMOSFET, rather than with the ACC NMOSFET made in accordance with the present teachings. Assume that the RF transmission line uses a 50-ohm system. With small signal inputs, and when the NMOSFET 528 operates in an off-state, the prior art off-state shunting NMOSFET 528 may introduce harmonic distortion and/or intermodulation distortion in the presence of multiple RF signals This will also introduce a noticeable loss of signal power.

When sufficiently large signals are input that cause the NMOSFET 528 to enter a BVDSS regime, some of the RF current is clipped, or redirected through the NMOSFET 528 to ground, resulting in a loss of signal power. This current “clipping” causes compression behavior that can be shown, for instance, in a RF switch “Pout vs. Pin” plot. This is frequently characterized by P1 dB, wherein the insertion loss is increased by 1.0 dB over the small-signal insertion loss. This is an obvious indication of nonlinearity of the switch. In accordance with the present disclosed method and apparatus, removing, reducing or otherwise controlling the accumulated charge increases the BVDSS point. Increases to the BVDSS point of the NMOSFET 528 commensurately increases the large-signal power handling of the switch. As an example, for a switch, doubling the BVDSS voltage of the ACC NMOSFET increases the P1 dB point by 6 dB. This is a significant accomplishment as compared with the prior art RF switch designs.

In addition, as described above in more detail, presence of the accumulated charge in SOI MOSFET body adversely impacts the magnitude of Coff and also takes time to form when the FET is switched from an on-state to an off-state. In terms of switch performance, the nonlinearity of Coff adversely impacts the overall switch linearity performance (as described above), and the magnitude of Coff adversely affects the small-signal performance parameters such as insertion loss, insertion phase (or delay), and isolation. By reducing the magnitude of Coff using the present disclosed method and apparatus, the switch (implemented with ACC MOSFETs) has reduced insertion loss due to lowered parasitic capacitance, reduced insertion phase (or delay), again due to lowered parasitic capacitance, and increased isolation due to less capacitive feedthrough.

The ACC MOSFET also improves the drift characteristic of SOI MOSFETs as pertains to the drift of the small-signal parameters over a period of time. As the SOI MOSFET takes some time to accumulate the accumulated charge when the switch is off, the Coff capacitance is initially fairly small. However, over a period of time while operated in the accumulated charge regime, the off-state capacitance Coff increases toward a final value. The time it takes for the NMOSFET to reach a full accumulated charge state depends on the electron-hole pair (EHP) generation mechanism. Typically, this time period is on the order of approximately hundreds of milliseconds for thermal EHP generation at room temperature, for example. During this charge-up time period, the insertion loss and insertion phase increase. Also, during this time period, the isolation decreases. As is well known, these are undesirable phenomena in standard SOI MOSFET devices. These problems are alleviated or otherwise mitigated using the ACC NMOSFETs and related circuits described above.

In addition to the above-described benefits afforded by the disclosed ACC MOSFET method and apparatus, the disclosed techniques also allow the implementation of SOI MOSFETs having improved temperature performance, improved sensitivity to Vdd variations, and improved sensitivity to process variations. Other improvements to the prior art SOI MOSFETs afforded by the present disclosed method and apparatus will be understood and appreciated by those skilled in the electronic device design and manufacturing arts.

Exemplary Fabrication Methods

In one embodiment of the present disclosure, the exemplary RF switches described above may be implemented using a fully insulating substrate semiconductor-on-insulator (SOI) technology. Also, as noted above, in addition to the commonly used silicon-based systems, some embodiments of the present disclosure may be implemented using silicon-germanium (SiGe), wherein the SiGe is used equivalently in place of silicon.

In some exemplary embodiments, the MOSFET transistors of the present disclosure may be implemented using “Ultra-Thin-Silicon (UTSi)” (also referred to herein as “ultrathin silicon-on-sapphire”) technology. In accordance with UTSi manufacturing methods, the transistors used to implement the inventive methods disclosed herein are formed in an extremely thin layer of silicon in an insulating sapphire wafer. The fully insulating sapphire substrate enhances the performance characteristics of the inventive RF circuits by reducing the deleterious substrate coupling effects associated with non-insulating and partially insulating substrates. For example, insertion loss improvements may be realized by lowering the transistor on-state resistances and by reducing parasitic substrate conductance and capacitance. In addition, switch isolation is improved using the fully insulating substrates provided by UTSi technology. Owing to the fully insulating nature of silicon-on-sapphire technology, the parasitic capacitance between the nodes of the RF switches is greatly reduced as compared with bulk CMOS and other traditional integrated circuit manufacturing technologies.

Examples of and methods for making silicon-on-sapphire devices that can be implemented in the MOSFETs and circuits described herein, are described in U.S. Pat. No. 5,416,043 (“Minimum charge FET fabricated on an ultrathin silicon on sapphire wafer”); U.S. Pat. No. 5,492,857 (“High-frequency wireless communication system on a single ultra-thin silicon on sapphire chip”); U.S. Pat. No. 5,572,040 (“High-frequency wireless communication system on a single ultrathin silicon on sapphire chip”); U.S. Pat. No. 5,596,205 (“High-frequency wireless communication system on a single ultrathin silicon on sapphire chip”); U.S. Pat. No. 5,600,169 (“Minimum charge FET fabricated on an ultrathin silicon on sapphire wafer”); U.S. Pat. No. 5,663,570 (“High-frequency wireless communication system on a single ultrathin silicon on sapphire chip”); U.S. Pat. No. 5,861,336 (“High-frequency wireless communication system on a single ultrathin silicon on sapphire chip”); U.S. Pat. No. 5,863,823 (“Self-aligned edge control in silicon on insulator”); U.S. Pat. No. 5,883,396 (“High-frequency wireless communication system on a single ultrathin silicon on sapphire chip”); U.S. Pat. No. 5,895,957 (“Minimum charge FET fabricated on an ultrathin silicon on sapphire wafer”); U.S. Pat. No. 5,920,233 (“Phase locked loop including a sampling circuit for reducing spurious side bands”); U.S. Pat. No. 5,930,638 (“Method of making a low parasitic resistor on ultrathin silicon on insulator”); U.S. Pat. No. 5,973,363 (“CMOS circuitry with shortened P-channel length on ultrathin silicon on insulator”); U.S. Pat. No. 5,973,382 (“Capacitor on ultrathin semiconductor on insulator”); and U.S. Pat. No. 6,057,555 (“High-frequency wireless communication system on a single ultrathin silicon on sapphire chip”). All of these referenced patents are incorporated herein in their entirety for their teachings on ultra-thin silicon-on-sapphire integrated circuit design and fabrication.

Similarly to other bulk and SOI CMOS processes, an SOS enhancement mode NMOSFET, suitable for some embodiments of the present disclosure, may, in some embodiments, be fabricated with a p-type implant into the channel region with n-type source and drain regions, and may have a threshold voltage of approximately +500 mV. The threshold voltage is directly related to the p-type doping level, with higher doping resulting in higher thresholds. Similarly, the SOS enhancement mode PMOSFET may, in some exemplary embodiments, be implemented with an n-type channel region and p-type source and drain regions. Again, the doping level defines the threshold voltage with higher doping resulting in a more negative threshold.

In some exemplary embodiments, an SOS depletion-mode NMOSFET, suitable for some embodiments of the present disclosure, may be fabricated by applying the p-type channel-implant mask to the n-type transistor, resulting in a structure that has n-type channel, source, and drain regions and a negative threshold voltage of approximately −500 mV. Similarly, in some exemplary embodiments, a suitable depletion-mode PMOSFET may be implemented by applying the n-type channel-implant mask to the p-type transistor, resulting in a structure that has p-type channel, source, and drain regions and a positive threshold voltage of approximately +500 mV.

As noted in the background section above, the present ACC MOSFET apparatus can also be implemented using any convenient semiconductor-on-insulator technology, included, but not limited to, silicon-on-insulator, silicon-on-sapphire, and silicon-on-bonded wafer technology. One such silicon-on-bonded wafer technique uses “direct silicon bonded” (DSB) substrates. Direct silicon bond (DSB) substrates are fabricated by bonding and electrically attaching a film of single-crystal silicon of differing crystal orientation onto a base substrate. Such implementations are available from the Silicon Genesis Corporation headquartered in San Jose, Calif. As described at the Silicon Genesis Corporation website (publicly available at “www.sigen.com”), silicon-on-bonded wafer techniques include the so-called Nano-Cleave™ bonding process which can be performed at room temperature. Using this process, SOI wafers can be formed with materials having substantially different thermal expansion coefficients, such as in the manufacture of Germanium-on-Insulator wafers (GeOI). Exemplary patents describing silicon-on-bonded wafer implementations are as follows: U.S. Pat. No. 7,056,808, issued Jun. 6, 2006 to Henley, et al.; U.S. Pat. No. 6,969,668, issued Nov. 29, 2005 to Kang, et al.; U.S. Pat. No. 6,908,832, issued Jun. 21, 2005 to Farrens et al.; U.S. Pat. No. 6,632,724, issued Oct. 14, 2003 to Henley, et al. and U.S. Pat. No. 6,790,747, issued Sep. 14, 2004 to Henley, et al. All of the above-cited patents are incorporated by reference herein for their teachings on techniques and methods of fabricating silicon devices on bonded wafers.

A reference relating to the fabrication of enhancement-mode and depletion-mode transistors in SOS is “CMOS/SOS/LSI Switching Regulator Control Device,” Orndorff, R. and Butcher, D., Solid-State Circuits Conference, Digest of Technical Papers, 1978 IEEE International, Volume XXI, pp. 234-235, February 1978. The “Orndorff” reference is hereby incorporated in its entirety herein for its techniques on the fabrication of enhancement-mode and depletion-mode SOS transistors.

EXEMPLARY RESULTS—APPENDIX A

Exemplary results that can be obtained using the disclosed method and apparatus for use in improving the linearity of MOSFETs are described in the attached Appendix A, entitled “Exemplary Performance Results of an SP6T Switch Implemented with ACC MOSFETs”. The contents of Appendix A are hereby incorporated by reference herein in its entirety. The results shown in detail in Appendix A are now briefly described. As noted in the attached Appendix A, the measured results are provided for a single pole, six throw (SP6T) RF switch. Those skilled in the art of RF switch circuit design shall understand that the results can be extended to any practical RF switch configuration, and therefore are not limited to the exemplary SP6T switch for which results are shown.

Slides 2-7 of Appendix A show harmonic performance versus Input Power for prior art devices and for ACC MOSFET devices made in accordance with the present disclosed method and apparatus. Switch circuits implemented with the ACC MOSFET of the disclosed method and apparatus have a third harmonic response that rises at a 3:1 slope (cube of the input) versus input power on the log scale. Those skilled in the electronic device design arts shall appreciate that no input-power dependent dynamic biasing occurs with the improved RF switch designs made in accordance with the present disclosure. In contrast, prior art floating body FET harmonics disadvantageously do not follow a 3:1 slope. This is disadvantageous for small-signal third-order distortions such as IM3.

As shown in the attached Appendix A, at a GSM maximum input power of +35 dBm, the 3fo is improved by 14 dB. This is shown in detail in slide number 3 of the attached Appendix A. Improvements in third order harmonic distortion is also applicable to all odd order responses, such as, for example, 5th order responses, 7th order responses, etc.

Similar to 3fo, the second order response of the improved ACC MOSFET-implemented RF switch follows a 2:1 slope (square of the input) whereas the prior art RF switch does not. This results in improved 2fo and IM2 performance at low input power, and roughly the same performance at +35 dBm.

Slide numbers 6 and 7 of the attached Appendix A treat the performance under non 50-ohm loads. In this case, the load represents a 5:1 mismatch wherein the load impedance can be of any convenient value that results in a reflection coefficient magnitude of ⅔. In the case of SOI MOSFETs, reflection coefficients that result in higher voltages cause the most severe problems. At 5:1 VSWR, the voltage can be 1.667× higher. Those skilled in the art may view this similarly by sweeping the input power up to higher voltages which equate to the mismatch conditions.

The slides provided in Appendix A illustrate that the improved RF switch, implemented with ACC MOSFETs made in accordance with the present disclosed method and apparatus, has improved large voltage handling capabilities as compared to the prior art RF switch implementations. As shown in the slides, the harmonics are approximately 20 dB at the worst mismatch phase angle. Transient harmonics are also shown. Those skilled in the art shall observe that the standard SP6T switch 3fo overshoots by several dB before reaching a final value. The improved SP6T switch made in accordance with the present disclosed method and apparatus does not exhibit such a time-dependency.

Slide number 8 of the Appendix A shows insertion loss performance results achieved using the improved SP6T RF switch of the present teachings. It can be observed that the improved SP6T switch has slightly improved insertion loss (IL) performance characteristics. Slide number 9 of Appendix A shows that isolation is also slightly improved using the present improved SP6T RF switch.

Slide number 10 of the Appendix A shows IM3 performance which is a metric of the slightly nonlinear behavior of the RF switch. The IM3 performance is shown versus phase again due to a load mismatch in the system under test. As can be observed by reviewing Slide number 10 of the Appendix A, the performance of the improved SP6T RF switch is improved by 27 dB.

Finally, Slide number 11 of the Appendix A is a summary table which also includes IM2 data. Slide number 11 shows almost 20 dB improvement for a low frequency blocker and 11 dB for a high frequency blocker. In one exemplary application wherein the SP6T may be used, all IM products must fall below −105 dBm. The improved SP6T switch is the only RF switch manufactured at the time of filing the present application meeting this requirement.

A number of embodiments of the present inventive concept have been described. Nevertheless, it will be understood that various modifications may be made without departing from the scope of the inventive teachings. For example, it should be understood that the functions described as being part of one module may in general be performed equivalently in another module. Also, as described above, all of the RF switch circuits can be used in bi-directionally, with output ports used to input signals, and vice versa. Furthermore, the present inventive teachings can be used in the implementation of any circuit that will benefit from the removal of accumulated charge from MOSFET bodies. The present teachings will also find utility in circuits wherein off-state transistors must withstand relatively high voltages. Other exemplary circuits include DC-to-DC converter circuits, power amplifiers, and similar electronic circuits.

Accordingly, it is to be understood that the concepts described herein are not to be limited by the specific illustrated embodiments, but only by the scope of the appended claims.

Claims

1. A Radio Frequency (RF) switching method comprising the steps of:

providing an RF input port;
configuring the RF input port to receive an RF signal;
providing an RF output port;
providing a switch transistor grouping having a first node and second node;
coupling the first node of the switch transistor grouping to the RF input port;
coupling the second node of the switch transistor grouping to the RF output port;
providing a shunt transistor grouping having a first node and a second node, the shunt transistor grouping comprising one or more accumulated charge control N-type MOSFETs (ACC N-MOSFET) wherein each of the one or more ACC-NMOSFETs comprises: a gate, drain, source and a gate oxide layer, where the gate oxide layer is positioned between the gate and a body; and an accumulated charge sink (ACS) region connected to the body;
coupling the first node of the shunt transistor grouping to the RF input port;
coupling the second node of the switch shunt transistor grouping to ground;
in a first state: (a) enabling the switch transistor grouping and disabling the shunt transistor grouping thereby passing the RF input signal from the RF input port to the RF output port; (b) biasing each of the one or more ACC-MOSFETs ACC N-MOSFETs to operate in an accumulated charge regime; (c) for each of the one or more ACC N-MOSFETs: applying a bias voltage, to the ACS region to control or to remove accumulated charge from the body via the ACS region, wherein the bias voltage is negative with respect to ground, the drain and the source;
in a second state: (d) enabling the shunt transistor grouping and disabling the switch transistor grouping, thereby isolating the RF input port from the RF output port.

2. The RF switching method of claim 1 used in an RF switching circuit.

3. The RF switching method of claim 2, wherein the RF switching circuit is implemented inside a cellular communication device.

4. The RF switching method of claim 3, wherein the cellular communication device is a GSM cell phone.

5. The RF switching method of claim 3, wherein the cellular communication device is used in a cellular communication system where the harmonics are at a level below −30 dBm.

6. The RF switching method of claim 2, wherein steps (b)-(c) are for improving linearity, harmonic and intermodulation suppression, and power consumption performance characteristics of the RF switching circuit.

7. The RF switching method of claim 1, further comprising the step of fabricating the one or more ACC N-MOSFETs on direct silicon bond substrates by bonding and electrically attaching a film of single-crystal silicon onto a base insulating substrate or on an insulating layer on a base silicon substrate.

8. The RF switching method of claim 1, wherein all the steps are implemented on a single die.

9. The RF switching method of claim 1, wherein all the steps are implemented on a single die.

10. The RF switching method of claim 1, further comprising the step of connecting drain-to-source resistors to the one or more ACC N-MOSFET; the drain-to-source resistors providing a conduction path between corresponding one or more ACC-N-MOSFETs' drains and sources.

11. The RF switching method of claim 10, further comprising the step of coupling a gate resistor to each gate of each of the one or more ACC N-MOSFETs.

12. A Radio Frequency (RF) switching method comprising the steps of:

providing a first RF port;
configuring the first RF port to receive or output a first RF signal;
providing a second RF port;
configuring the second RF port to receive or output a second RF signal;
providing an RF common port;
providing a first switch transistor grouping having a first node and a second node, the first switch transistor grouping comprising a first one or more accumulated charge control N-type MOSFETs (ACC N-MOSFETs), wherein each of the first one or more ACC-NMOSFETs comprises: a first gate, first drain, first source and a first gate oxide layer positioned between the first gate and a first body; and a first accumulated charge sink (ACS) region connected to the first body;
coupling the first node of the first switch transistor grouping to the first RF port;
coupling the second node of the first switch transistor grouping to the RF common port;
providing a second switch transistor grouping having a first node and a second node, the second switch transistor grouping comprising a second one or more ACC N-MOSFETs, wherein each of the second one or more ACC N-MOSFETs comprises: a second gate, second drain, second source and a second gate oxide layer positioned between the second gate and a second body; and a second accumulated charge sink (ACS) region connected to the second body;
coupling the first node of the second switch transistor grouping to the second RF port;
coupling the second node of the second switch transistor grouping to the RF common port;
in a first state: (a) enabling the first switch transistor grouping and disabling the second switch transistor grouping, thereby electrically coupling the first RF port with the RF common port and isolating the second RF port from the RF common port; (b) biasing each of the second one or more ACC N-MOSFETs to operate in an accumulated charge regime; (c) for each of the second one or more ACC N-MOSFETs: applying a second bias voltage, to the second ACS region to control or to remove accumulated charge from the second body via the second ACS region, wherein the second bias voltage is negative with respect to ground, the second drain and the second source;
in a second state: (d) enabling the second switch transistor grouping and disabling the first switch transistor grouping thereby electrically coupling the second RF port with the RF common port and isolating the first RF port from the RF common port; (e) biasing each of the first one or more ACC N-MOSFETs to operate in an accumulated charge regime; (f) for each of the first one or more ACC N-MOSFETs: applying a first bias voltage, to the first ACS region to control or to remove accumulated charge from the first body via the first ACS region, wherein the first bias voltage is negative with respect to ground, the first drain and the first source.

13. The RF switching method of claim 12 used in an RF switching circuit.

14. The RF switching method of claim 13, wherein the RF switching circuit is implemented inside a cellular communication device.

15. The RF switching method of claim 14, wherein the cellular communication device is a GSM cell phone.

16. The RF switching method of claim 14, wherein the cellular communication device is used in a cellular communication system.

17. The RF switching method of claim 12, wherein steps (b)-(c) and (e)-(f) are for improving linearity, harmonic and intermodulation suppression, and power consumption performance characteristics of the RF switching circuit.

18. The RF switching method of claim 12, further comprising the step of fabricating the first and the second one or more ACC N-MOSFETs on direct silicon bond substrates by bonding and electrically attaching a film of single-crystal silicon onto a base insulating substrate or on an insulating layer on a base silicon substrate.

Referenced Cited
U.S. Patent Documents
3470443 September 1969 Berry
3646361 February 1972 Pfiffner
3699359 October 1972 Shelby
3731112 May 1973 Smith
3878450 April 1975 Greatbatch
3942047 March 2, 1976 Buchanan
3943428 March 9, 1976 Whidden
3955353 May 11, 1976 Astle
3975671 August 17, 1976 Stoll
3983414 September 28, 1976 Stafford
3988727 October 26, 1976 Scott
4047091 September 6, 1977 Hutchines
4053916 October 11, 1977 Cricchi et al.
4061929 December 6, 1977 Asano
4068295 January 10, 1978 Portmann
4079336 March 14, 1978 Gross
4106086 August 8, 1978 Holbrook
4139826 February 13, 1979 Pradal
4145719 March 20, 1979 Hand
4186436 January 29, 1980 Ishiwatari
4241316 December 23, 1980 Knapp
4244000 January 6, 1981 Ueda
4256977 March 17, 1981 Hendrickson
4316101 February 16, 1982 Minner
4317055 February 23, 1982 Yoshida
4321661 March 23, 1982 Sano
4367421 January 4, 1983 Baker
4390798 June 28, 1983 Karafuji
4460952 July 17, 1984 Risinger
RE31749 November 27, 1984 Yamashiro
4485433 November 27, 1984 Topich
4621315 November 4, 1986 Vaughn
4633106 December 30, 1986 Backes
4638184 January 20, 1987 Kimura
4679134 July 7, 1987 Bingham
4703196 October 27, 1987 Arakawa
4736169 April 5, 1988 Weaver
4739191 April 19, 1988 Puar
4746960 May 24, 1988 Valeri
4748485 May 31, 1988 Vasudev
4752699 June 21, 1988 Cranford
4769784 September 6, 1988 Doluca
4777577 October 11, 1988 Bingham
4809056 February 28, 1989 Shirato
4810911 March 7, 1989 Noguchi
4825145 April 25, 1989 Tanaka
4839787 June 13, 1989 Kojima
4847519 July 11, 1989 Wahl
4849651 July 18, 1989 Estes, Jr.
4883976 November 28, 1989 Deane
4890077 December 26, 1989 Sun
4891609 January 2, 1990 Eilley
4893070 January 9, 1990 Milberger
4897774 January 30, 1990 Bingham
4906587 March 6, 1990 Blake
4929855 May 29, 1990 Ezzeddine
4939485 July 3, 1990 Eisenberg
4984040 January 8, 1991 Yap
4985647 January 15, 1991 Kawada
4999585 March 12, 1991 Burt
5001528 March 19, 1991 Bahraman
5012123 April 30, 1991 Ayasli et al.
5023494 June 11, 1991 Tsukii
5029282 July 2, 1991 Ito
5032799 July 16, 1991 Milberger
5038325 August 6, 1991 Douglas
5041797 August 20, 1991 Belcher
5061907 October 29, 1991 Rasmussen
5061911 October 29, 1991 Weidman
5068626 November 26, 1991 Takagi
5081371 January 14, 1992 Wong
5081706 January 14, 1992 Kim
5095348 March 10, 1992 Houston
5107152 April 21, 1992 Jain
5111375 May 5, 1992 Marshall
5124762 June 23, 1992 Childs
5125007 June 23, 1992 Yamaguchi
5126590 June 30, 1992 Chern
5138190 August 11, 1992 Yamazaki
5146178 September 8, 1992 Nojima
5148393 September 15, 1992 Furuyama
5157279 October 20, 1992 Lee
5182529 January 26, 1993 Chern
5193198 March 9, 1993 Yokouchi
5208557 May 4, 1993 Kersh, III
5212456 May 18, 1993 Kovalcik
5272457 December 21, 1993 Heckaman
5274343 December 28, 1993 Russell
5283457 February 1, 1994 Matloubian
5285367 February 8, 1994 Keller
5306954 April 26, 1994 Chan
5313083 May 17, 1994 Schindler
5317181 May 31, 1994 Tyson
5319604 June 7, 1994 Imondi
5345422 September 6, 1994 Redwine
5349306 September 20, 1994 Apel
5350957 September 27, 1994 Cooper
5375256 December 20, 1994 Yokoyama
5375257 December 20, 1994 Lampen
5392186 February 21, 1995 Alexander
5392205 February 21, 1995 Zavaleta
5405795 April 11, 1995 Beyer
5416043 May 16, 1995 Burgener et al.
5422586 June 6, 1995 Tedrow
5422590 June 6, 1995 Coffman
5442327 August 15, 1995 Longbrake
5446418 August 29, 1995 Hara
5448207 September 5, 1995 Kohama
5455794 October 3, 1995 Javanifard
5465061 November 7, 1995 Dufour
5477184 December 19, 1995 Uda
5488243 January 30, 1996 Tsuruta
5492857 February 20, 1996 Reedy et al.
5493249 February 20, 1996 Manning
5519360 May 21, 1996 Keeth
5535160 July 9, 1996 Yamaguchi
5548239 August 20, 1996 Kohama
5553012 September 3, 1996 Buss
5553295 September 3, 1996 Pantelakis
5554892 September 10, 1996 Norimatsu
5559368 September 24, 1996 Hu
5572040 November 5, 1996 Reedy et al.
5576647 November 19, 1996 Sutardja
5578853 November 26, 1996 Hayashi
5581106 December 3, 1996 Hayashi
5587604 December 24, 1996 Machesney et al.
5589793 December 31, 1996 Kassapian
5594371 January 14, 1997 Douseki
5596205 January 21, 1997 Reedy et al.
5597739 January 28, 1997 Sumi
5600169 February 4, 1997 Burgener et al.
5600588 February 4, 1997 Kawashima
5610533 March 11, 1997 Arimoto
5629655 May 13, 1997 Dent
5663570 September 2, 1997 Reedy et al.
5670907 September 23, 1997 Gorecki
5672992 September 30, 1997 Nadd
5677649 October 14, 1997 Martin
5681761 October 28, 1997 Kim
5689144 November 18, 1997 Williams
5694308 December 2, 1997 Cave
5698877 December 16, 1997 Gonzalez
5699018 December 16, 1997 Yamamoto
5717356 February 10, 1998 Kohama
5729039 March 17, 1998 Beyer
5731607 March 24, 1998 Kohama
5734291 March 31, 1998 Tasdighi
5748016 May 5, 1998 Kurosawa
5748053 May 5, 1998 Kameyama
5753955 May 19, 1998 Fechner
5757170 May 26, 1998 Pinney
5760652 June 2, 1998 Maemura
5767549 June 16, 1998 Chen
5767721 June 16, 1998 Crampton
5774411 June 30, 1998 Hsieh
5774792 June 30, 1998 Tanaka
5777530 July 7, 1998 Nakatuka
5784311 July 21, 1998 Assaderaghi
5784687 July 21, 1998 Itoh
5786617 July 28, 1998 Merrill
5793246 August 11, 1998 Vest
5801577 September 1, 1998 Tailliet
5804858 September 8, 1998 Hsu
5807772 September 15, 1998 Takemura
5808505 September 15, 1998 Tsukada
5812939 September 22, 1998 Kohama
5814899 September 29, 1998 Okumura
5818099 October 6, 1998 Burghartz
5818278 October 6, 1998 Yamamoto
5818283 October 6, 1998 Tonami
5818289 October 6, 1998 Chevallier
5818766 October 6, 1998 Song
5821769 October 13, 1998 Douseki
5821800 October 13, 1998 Le
5825227 October 20, 1998 Kohama
5861336 January 19, 1999 Reedy et al.
5864328 January 26, 1999 Kajimoto
5863823 January 26, 1999 Burgener
5874836 February 23, 1999 Nowak
5874849 February 23, 1999 Marotta
5877978 March 2, 1999 Morishita
5878331 March 2, 1999 Yamamoto
5880620 March 9, 1999 Gitlin
5883396 March 16, 1999 Reedy et al.
5883541 March 16, 1999 Tahara
5889428 March 30, 1999 Young
5892260 April 6, 1999 Okumura
5892382 April 6, 1999 Ueda
5892400 April 6, 1999 Van Saders
5895957 April 20, 1999 Reedy et al.
5903178 May 11, 1999 Miyatsuji
5912560 June 15, 1999 Pasternak
5917362 June 29, 1999 Kohama
5920093 July 6, 1999 Huang
5920233 July 6, 1999 Denny
5926466 July 20, 1999 Ishida
5930605 July 27, 1999 Mistry
5930638 July 27, 1999 Reedy et al.
5945867 August 31, 1999 Uda
5945879 August 31, 1999 Rodwell
5953557 September 14, 1999 Kawahara
5959335 September 28, 1999 Bryant
5969560 October 19, 1999 Kohama
5969571 October 19, 1999 Swanson
5973363 October 26, 1999 Staab et al.
5973364 October 26, 1999 Kawanaka
5973382 October 26, 1999 Burgener et al.
5973636 October 26, 1999 Okubo
5986518 November 16, 1999 Dougherty
5990580 November 23, 1999 Weigand
6020778 February 1, 2000 Shigehara
6020781 February 1, 2000 Fujioka
6020848 February 1, 2000 Wallace
6049110 April 11, 2000 Koh
6057555 May 2, 2000 Reedy et al.
6057723 May 2, 2000 Yamaji
6061267 May 9, 2000 Houston
6063686 May 16, 2000 Masuda
6064253 May 16, 2000 Faulkner
6064275 May 16, 2000 Yamauchi
6064872 May 16, 2000 Vice
6066993 May 23, 2000 Yamamoto
6081165 June 27, 2000 Goldman
6081443 June 27, 2000 Morishita
6081694 June 27, 2000 Matsuura
6084255 July 4, 2000 Ueda
6087893 July 11, 2000 Oowaki
6094088 July 25, 2000 Yano
6100564 August 8, 2000 Bryant
6104061 August 15, 2000 Forbes
6107885 August 22, 2000 Miguelez
6111778 August 29, 2000 MacDonald
6114923 September 5, 2000 Mizutani
6118343 September 12, 2000 Winslow
6122185 September 19, 2000 Utsunomiya
6130570 October 10, 2000 Pan
6130572 October 10, 2000 Ghilardelli
6133752 October 17, 2000 Kawagoe
6137367 October 24, 2000 Ezzedine
6160292 December 12, 2000 Flaker et al.
6169444 January 2, 2001 Thurber, Jr.
6172378 January 9, 2001 Hull
6173235 January 9, 2001 Maeda
6177826 January 23, 2001 Mashiko
6188247 February 13, 2001 Storing
6188590 February 13, 2001 Chang
6191449 February 20, 2001 Shimo
6191653 February 20, 2001 Camp, Jr.
6195307 February 27, 2001 Umezawa
6201761 March 13, 2001 Wollesen
RE37124 April 3, 2001 Monk
6215360 April 10, 2001 Callaway, Jr.
6218248 April 17, 2001 Hwang
6218890 April 17, 2001 Yamaguchi
6218892 April 17, 2001 Soumyanath
6222394 April 24, 2001 Allen
6225866 May 1, 2001 Kubota
6239649 May 29, 2001 Bertin
6239657 May 29, 2001 Bauer
6249027 June 19, 2001 Burr
6249029 June 19, 2001 Bryant
6249446 June 19, 2001 Shearon
6281737 August 28, 2001 Kuang
6288458 September 11, 2001 Berndt
6297687 October 2, 2001 Sugimura
6297696 October 2, 2001 Abodollahian
6300796 October 9, 2001 Troutman
6304110 October 16, 2001 Hirano
6308047 October 23, 2001 Yamamoto
6310508 October 30, 2001 Westerman
6316983 November 13, 2001 Kitamura
6320225 November 20, 2001 Hargrove
6337594 January 8, 2002 Hwang
6341087 January 22, 2002 Kunikiyo
6355957 March 12, 2002 Maeda
6356536 March 12, 2002 Repke
6365488 April 2, 2002 Liao
6380793 April 30, 2002 Bancal
6380796 April 30, 2002 Sakai
6380802 April 30, 2002 Pehike
6387739 May 14, 2002 Smith, III
6392440 May 21, 2002 Nebel
6392467 May 21, 2002 Oowaki
6396325 May 28, 2002 Goodell
6396352 May 28, 2002 Muza
6400211 June 4, 2002 Yokomizo
6407427 June 18, 2002 Oh
6407614 June 18, 2002 Takahashi
6411156 June 25, 2002 Borkar
6411531 June 25, 2002 Nork
6414353 July 2, 2002 Maeda
6414863 July 2, 2002 Bayer
6429487 August 6, 2002 Kunikiyo
6429632 August 6, 2002 Forbes
6429723 August 6, 2002 Hastings
6433587 August 13, 2002 Assaderaghi
6433589 August 13, 2002 Lee
6449465 September 10, 2002 Gailus
6452232 September 17, 2002 Adan
6461902 October 8, 2002 Xu
6466082 October 15, 2002 Krishnan
6469568 October 22, 2002 Toyoyama
6486511 November 26, 2002 Nathanson
6486729 November 26, 2002 Imamiya
6496074 December 17, 2002 Sowlati
6498058 December 24, 2002 Bryant
6498370 December 24, 2002 Kim
6504212 January 7, 2003 Allen et al.
6504213 January 7, 2003 Ebina
6509799 January 21, 2003 Franca-Neto
6512269 January 28, 2003 Braynt
6518645 February 11, 2003 Bae
6518829 February 11, 2003 Butler
6519191 February 11, 2003 Morishita
6521959 February 18, 2003 Kim
6537861 March 25, 2003 Kroell
6559689 May 6, 2003 Clark
6563366 May 13, 2003 Kohama
6573533 June 3, 2003 Yamazaki
6608785 August 19, 2003 Chuang
6608789 August 19, 2003 Sullivan
6617933 September 9, 2003 Ito
6631505 October 7, 2003 Arai
6632724 October 14, 2003 Henley et al.
6642578 November 4, 2003 Arnold
6646305 November 11, 2003 Assaderaghi
6653697 November 25, 2003 Hidaka
6670655 December 30, 2003 Lukes
6677641 January 13, 2004 Kocon
6677803 January 13, 2004 Chiba
6684055 January 27, 2004 Blackaby
6684065 January 27, 2004 Bult
6693326 February 17, 2004 Adan
6693498 February 17, 2004 Sasabata
6698082 March 2, 2004 Crenshaw
6698498 March 2, 2004 Zeigelaar
6703863 March 9, 2004 Gion
6704550 March 9, 2004 Kohama
6711397 March 23, 2004 Petrov
6714065 March 30, 2004 Komiya
6717458 April 6, 2004 Potanin
6730953 May 4, 2004 Brindle
6762477 July 13, 2004 Kunikiyo
6769110 July 27, 2004 Katoh
6774701 August 10, 2004 Heston
6781805 August 24, 2004 Urakawa
6788130 September 7, 2004 Pauletti
6790747 September 14, 2004 Henley et al.
6801076 October 5, 2004 Merritt
6803680 October 12, 2004 Brindle
6804502 October 12, 2004 Burgener et al.
6804506 October 12, 2004 Freitag
6816000 November 9, 2004 Miyamitsu
6816001 November 9, 2004 Khouri
6816016 November 9, 2004 Sander
6819938 November 16, 2004 Sahota
6825730 November 30, 2004 Sun
6830963 December 14, 2004 Forbes
6831847 December 14, 2004 Perry
6833745 December 21, 2004 Hausman
6835982 December 28, 2004 Hogyoku
6836172 December 28, 2004 Okashita
6870241 March 22, 2005 Nakatani
6871059 March 22, 2005 Piro
6879502 April 12, 2005 Yoshida
6882210 April 19, 2005 Asano
6891234 May 10, 2005 Connelly
6897701 May 24, 2005 Chen
6898778 May 24, 2005 Kawanaka
6901023 May 31, 2005 Kirsch
6903596 June 7, 2005 Geller
6908832 June 21, 2005 Farrens et al.
6917258 July 12, 2005 Kushitani
6933744 August 23, 2005 Das
6934520 August 23, 2005 Rozsypal
6947720 September 20, 2005 Razavi
6954623 October 11, 2005 Chang
6967517 November 22, 2005 Mizuno
6968020 November 22, 2005 Jayaraman
6969668 November 29, 2005 Kang et al.
6975271 December 13, 2005 Adachi
6978122 December 20, 2005 Kawakyu
6978437 December 20, 2005 Rittman et al.
7023260 April 4, 2006 Thorp
7042245 May 9, 2006 Hidaka
7045873 May 16, 2006 Chen
7056808 June 6, 2006 Henley et al.
7057472 June 6, 2006 Fukamachi
7058922 June 6, 2006 Kawanaka
7068096 June 27, 2006 Chu
7082293 July 25, 2006 Rofougaran
7088971 August 8, 2006 Burgener
7092677 August 15, 2006 Zhang
7109532 September 19, 2006 Lee
7123898 October 17, 2006 Burgener
7129545 October 31, 2006 Cain
7132873 November 7, 2006 Hollmer
7138846 November 21, 2006 Suwa
7161197 January 9, 2007 Nakatsuka
7173471 February 6, 2007 Nakatsuka
7199635 April 3, 2007 Nakatsuka
7202712 April 10, 2007 Athas
7202734 April 10, 2007 Raab
7212788 May 1, 2007 Weber
7248120 July 24, 2007 Burgener
7266014 September 4, 2007 Wu
7269392 September 11, 2007 Nakajima
7307490 December 11, 2007 Kizuki
7345342 March 18, 2008 Challa
7345521 March 18, 2008 Takahashi
7355455 April 8, 2008 Hidaka
7359677 April 15, 2008 Huang
7391282 June 24, 2008 Nakatsuka
7404157 July 22, 2008 Tanabe
7405982 July 29, 2008 Flaker
7432552 October 7, 2008 Park
7457594 November 25, 2008 Theobold
7460852 December 2, 2008 Burgener
7515882 April 7, 2009 Kelcourse
7546089 June 9, 2009 Bellantoni
7551036 June 23, 2009 Berroth
7561853 July 14, 2009 Miyazawa
7564103 July 21, 2009 Losehand et al.
7616482 November 10, 2009 Prall
7619462 November 17, 2009 Kelly
7659152 February 9, 2010 Gonzalez
7710189 May 4, 2010 Toda
7719343 May 18, 2010 Burgener
7733156 June 8, 2010 Brederlow
7733157 June 8, 2010 Brederlow
7741869 June 22, 2010 Hidaka
7756494 July 13, 2010 Fujioka
7786807 August 31, 2010 Li
7796969 September 14, 2010 Kelly
7808342 October 5, 2010 Prikhokdo
7817966 October 19, 2010 Prikhokdo
7860499 December 28, 2010 Burgener
7868683 January 11, 2011 Iklov
7890891 February 15, 2011 Stuber
7910993 March 22, 2011 Brindle
7928759 April 19, 2011 Hidaka
7936213 May 3, 2011 Shin
7960772 June 14, 2011 Englekirk
7982265 July 19, 2011 Challa
7984408 July 19, 2011 Cheng
8008988 August 30, 2011 Yang
8081928 December 20, 2011 Kelly
8103226 January 24, 2012 Andrys
8111104 February 7, 2012 Ahadian
8129787 March 6, 2012 Brindle
8131225 March 6, 2012 Botula
8131251 March 6, 2012 Burgener
8195103 June 5, 2012 Waheed
8232627 July 31, 2012 Bryant
8253494 August 28, 2012 Blednov
8330519 December 11, 2012 Lam
8350624 January 8, 2013 Lam
8405147 March 26, 2013 Brindle
8427241 April 23, 2013 Ezzedine
8451044 May 28, 2013 Nisbet et al.
8461903 June 11, 2013 Granger-Jones
8487706 July 16, 2013 Li
8525272 September 3, 2013 Losehand et al.
8527949 September 3, 2013 Pleis
8529949 September 10, 2013 Ettema
8536636 September 17, 2013 Englekirk
8559907 October 15, 2013 Burgener
8583111 November 12, 2013 Burgener
8649741 February 11, 2014 Iijima
8649754 February 11, 2014 Burgener
8669804 March 11, 2014 Ranta
8680928 March 25, 2014 Jeon
8729948 May 20, 2014 Sugiura
8729949 May 20, 2014 Nisbet et al.
8742502 June 3, 2014 Brindle
8779859 July 15, 2014 Su
8954902 February 10, 2015 Stuber
9087899 July 21, 2015 Brindle
9129836 September 8, 2015 Losehand et al.
9130564 September 8, 2015 Brindle et al.
9160292 October 13, 2015 Olson
9177737 November 3, 2015 Englekirk
9178493 November 3, 2015 Nobbe
9184709 November 10, 2015 Adamski
9219445 December 22, 2015 Nobbe
9225378 December 29, 2015 Burgener et al.
9276526 March 1, 2016 Nobbe
9331738 May 3, 2016 Sharma
9369087 June 14, 2016 Burgener
9397656 July 19, 2016 Dribinsky
9419560 August 16, 2016 Korol
9438223 September 6, 2016 De Jongh
9467124 October 11, 2016 Crandall
9608619 March 28, 2017 Stuber
9653601 May 16, 2017 Brindle
9673155 June 6, 2017 Smith
9755615 September 5, 2017 Ranta
9780775 October 3, 2017 Brindle
9780778 October 3, 2017 Burgener et al.
9786781 October 10, 2017 Brindle et al.
9887695 February 6, 2018 Dribinsky et al.
9948281 April 17, 2018 Ranta
9966988 May 8, 2018 Burgener
10074746 September 11, 2018 Brindle et al.
10122356 November 6, 2018 Kunishi
10153763 December 11, 2018 Brindle
10153767 December 11, 2018 Burgener
10622990 April 14, 2020 Brindle
10622993 April 14, 2020 Burgener
10680600 June 9, 2020 Brindle
20010015461 August 23, 2001 Ebina
20010031518 October 18, 2001 Kim
20010040479 November 15, 2001 Zhang
20010045602 November 29, 2001 Maeda et al.
20020029971 March 14, 2002 Kovacs
20020079971 June 27, 2002 Vathulya
20020093064 July 18, 2002 Inaba
20020115244 August 22, 2002 Park
20020126767 September 12, 2002 Ding
20020195623 December 26, 2002 Horiuchi
20030002452 January 2, 2003 Sahota
20030025159 February 6, 2003 Hogyoku
20030032396 February 13, 2003 Tsuchiya
20030090313 May 15, 2003 Burgener
20030141543 July 31, 2003 Bryant
20030160515 August 28, 2003 Yu
20030181167 September 25, 2003 Iida
20030201494 October 30, 2003 Maeda
20030205760 November 6, 2003 Kawanaka et al.
20030222313 December 4, 2003 Fechner
20030224743 December 4, 2003 Okada
20030227056 December 11, 2003 Wang
20040004251 January 8, 2004 Madurawe
20040021137 February 5, 2004 Fazan
20040061130 April 1, 2004 Morizuka
20040080364 April 29, 2004 Sander
20040121745 June 24, 2004 Meck
20040129975 July 8, 2004 Koh
20040183583 September 23, 2004 Mizuno
20040183588 September 23, 2004 Chandrakasan
20040204013 October 14, 2004 Ma
20040218442 November 4, 2004 Kirsch
20040227565 November 18, 2004 Chen
20040242182 December 2, 2004 Hikada
20050017789 January 27, 2005 Burgener
20050077564 April 14, 2005 Forbes
20050079829 April 14, 2005 Ogawa
20050121699 June 9, 2005 Chen
20050122163 June 9, 2005 Chu
20050127442 June 16, 2005 Veeraraghavan
20050167751 August 4, 2005 Nakajima et al.
20050212595 September 29, 2005 Kusunoki
20050264341 December 1, 2005 Hikita
20060009164 January 12, 2006 Kataoka
20060022526 February 2, 2006 Cartalade
20060077082 April 13, 2006 Shanks
20060118884 June 8, 2006 Losehand et al.
20060160520 July 20, 2006 Miyazawa
20060161520 July 20, 2006 Brewer
20060194558 August 31, 2006 Kelly
20060194567 August 31, 2006 Kelly
20060199563 September 7, 2006 Kelly et al.
20060255852 November 16, 2006 O'Donnell
20060267093 November 30, 2006 Tang
20060270367 November 30, 2006 Burgener
20060281418 December 14, 2006 Huang
20070018247 January 25, 2007 Brindle et al.
20070023833 February 1, 2007 Okhonin et al.
20070045697 March 1, 2007 Cheng
20070069291 March 29, 2007 Stuber
20070120103 May 31, 2007 Burgener
20070279120 December 6, 2007 Brederlow
20070290744 December 20, 2007 Adachi
20080034335 February 7, 2008 Cheng
20080073719 March 27, 2008 Fazan
20080076371 March 27, 2008 Dribinsky
20080191788 August 14, 2008 Chen
20080303080 December 11, 2008 Bhattacharyya
20090007036 January 1, 2009 Cheng
20090029511 January 29, 2009 Wu
20090117871 May 7, 2009 Burgener
20090181630 July 16, 2009 Seshita et al.
20090278206 November 12, 2009 Losehand et al.
20100327948 December 30, 2010 Nisbet et al.
20100330938 December 30, 2010 Yin
20110002080 January 6, 2011 Ranta
20110092179 April 21, 2011 Burgener
20110163779 July 7, 2011 Hidaka
20110169550 July 14, 2011 Brindle
20110227637 September 22, 2011 Stuber
20110260780 October 27, 2011 Granger-Jones
20110299437 December 8, 2011 Mikhemar
20120007679 January 12, 2012 Burgener
20120064952 March 15, 2012 Iijima
20120169398 July 5, 2012 Brindle
20120267719 October 25, 2012 Brindle
20130009725 January 10, 2013 Heaney
20130015717 January 17, 2013 Dykstra
20130260698 October 3, 2013 Nisbet et al.
20130278317 October 24, 2013 Iversen
20130293280 November 7, 2013 Brindle
20140001550 January 2, 2014 Losehand et al.
20140028521 January 30, 2014 Bauder
20140085006 March 27, 2014 Mostov
20140087673 March 27, 2014 Mostov
20140165385 June 19, 2014 Englekirk
20140167834 June 19, 2014 Stuber
20140179249 June 26, 2014 Burgener
20140179374 June 26, 2014 Burgener
20140306767 October 16, 2014 Burgener
20140312422 October 23, 2014 Brindle
20150015321 January 15, 2015 Dribinsky
20150022256 January 22, 2015 Sprinkle
20150236691 August 20, 2015 Cam
20160064561 March 3, 2016 Brindle
20160191040 June 30, 2016 Brindle et al.
20160191050 June 30, 2016 Englekirk
20160191051 June 30, 2016 Burgener
20160226478 August 4, 2016 Dribinsky
20160329891 November 10, 2016 Bakalski
20170162692 June 8, 2017 Brindle
20170201250 July 13, 2017 Heaney
20170236946 August 17, 2017 Stuber
20170237462 August 17, 2017 Burgener
20180061985 March 1, 2018 Brindle et al.
20180062645 March 1, 2018 Burgener et al.
20180083614 March 22, 2018 Brindle
20180138272 May 17, 2018 Ebihara
20180145678 May 24, 2018 Maxim
20180212599 July 26, 2018 Dribinsky
20190058470 February 21, 2019 Burgener
20190081655 March 14, 2019 Burgener
20190088781 March 21, 2019 Brindle
20190089348 March 21, 2019 Brindle
20190097612 March 28, 2019 Burgener
20190237579 August 1, 2019 Brindle
20190238126 August 1, 2019 Brindle et al.
20200036377 January 30, 2020 Brindle
20200036378 January 30, 2020 Brindle
20200067504 February 27, 2020 Brindle
20200076427 March 5, 2020 Burgener
20200076428 March 5, 2020 Burgener
20200112305 April 9, 2020 Brindle
20200153430 May 14, 2020 Burgener
Foreign Patent Documents
1256521 June 2000 CN
1256521 June 2000 CN
200680025128.7 November 2012 CN
19832565 August 1999 DE
112011103554 September 2013 DE
385641 September 1990 EP
622901 November 1994 EP
782267 July 1997 EP
788185 August 1997 EP
851561 January 1998 EP
913939 May 1999 EP
625831 November 1999 EP
1006584 June 2000 EP
1451890 February 2001 EP
1925030 May 2008 EP
2348532 July 2011 EP
2348533 July 2011 EP
2348534 July 2011 EP
2348535 July 2011 EP
2348536 July 2011 EP
2387094 November 2011 EP
1774620 October 2014 EP
2884586 June 2015 EP
3113280 January 2017 EP
1902474 April 2017 EP
5575348 June 1980 JP
H01254014 October 1989 JP
2161769 June 1990 JP
H0434980 February 1992 JP
H04183008 June 1992 JP
H05299995 November 1993 JP
H06112795 April 1994 JP
H06314985 November 1994 JP
H06334506 December 1994 JP
H07046109 February 1995 JP
H07070245 March 1995 JP
H07106937 April 1995 JP
H08023270 January 1996 JP
H08070245 March 1996 JP
H08148949 June 1996 JP
H08251012 September 1996 JP
H08307305 November 1996 JP
H08330930 December 1996 JP
H098627 January 1997 JP
H9041275 February 1997 JP
H9055682 February 1997 JP
H0992785 April 1997 JP
H09148587 June 1997 JP
H09163721 June 1997 JP
H09181641 July 1997 JP
H09186501 July 1997 JP
H09200021 July 1997 JP
H09200074 July 1997 JP
H09238059 September 1997 JP
H09243738 September 1997 JP
H098621 October 1997 JP
H09270659 October 1997 JP
H09284114 October 1997 JP
H09284170 October 1997 JP
H09298493 October 1997 JP
H09326642 December 1997 JP
H1079467 March 1998 JP
H1093471 April 1998 JP
H10242477 September 1998 JP
H10242826 September 1998 JP
H10242829 September 1998 JP
H10284736 October 1998 JP
10-344247 December 1998 JP
H10335901 December 1998 JP
H1126776 January 1999 JP
H11112316 April 1999 JP
H11136111 May 1999 JP
H11163642 June 1999 JP
H11163704 June 1999 JP
H11205188 July 1999 JP
H11274804 October 1999 JP
2000031167 January 2000 JP
2000058842 February 2000 JP
2000101093 April 2000 JP
2000183353 June 2000 JP
19980344247 June 2000 JP
2000188501 July 2000 JP
2000208614 July 2000 JP
2000223713 August 2000 JP
2000243973 September 2000 JP
2000277703 October 2000 JP
2000294786 October 2000 JP
2000311986 November 2000 JP
2001007332 January 2001 JP
2003060451 February 2001 JP
2001094114 April 2001 JP
2001119281 April 2001 JP
2001157487 May 2001 JP
2001156182 June 2001 JP
2001274265 October 2001 JP
2002156602 May 2002 JP
2000358775 June 2002 JP
2002164441 June 2002 JP
2002290104 October 2002 JP
2003101407 April 2003 JP
2003143004 May 2003 JP
2003167615 June 2003 JP
2003189248 July 2003 JP
2003332583 November 2003 JP
2003347553 December 2003 JP
2004147175 May 2004 JP
2004515937 May 2004 JP
2004166470 June 2004 JP
2004199950 July 2004 JP
2004288978 October 2004 JP
2005515657 May 2005 JP
2005203643 July 2005 JP
2005251931 September 2005 JP
200551567 September 2006 JP
2009500868 January 2009 JP
2010506156 February 2010 JP
4659826 March 2011 JP
4892092 March 2012 JP
5215850 March 2013 JP
5591356 September 2014 JP
55678106 February 2015 JP
6006219 October 2016 JP
WO2015015720 March 2017 JP
2014239233 December 2017 JP
19940027615 December 1994 KR
WO86/01037 February 1986 WO
WO9523460 August 1995 WO
WO9806174 February 1998 WO
WO9935695 July 1999 WO
WO0227920 April 2002 WO
WO03032431 April 2003 WO
WO2006038190 April 2006 WO
WO07008934 January 2007 WO
WO07033045 March 2007 WO
WO07035610 March 2007 WO
WO09108391 September 2009 WO
WO12054642 April 2012 WO
Other references
  • US 10,700,199 B1, 06/2020, Brindle (withdrawn)
  • US 10,700,200 B1, 06/2020, Brindle (withdrawn)
  • Voldman—“Dynamic Threshold Body- and Gate-coupled SOI ESD Protection Networks”, Journal of Electrostatics 44, Mar. 20, 1998, pp. 239-255, Doc 8015.
  • Matloubian—“Smart Body Contact for SOI MOSFETs” 1989 IEEE SOS/SOI Technology Conference pp. 128-129, Oct. 3-5, 1989, 2 pages, Doc 0425.
  • Hieda—Floating-Body Effect Free Concave SOI-MOSFETs (COSMOS), ULSI Research Center, Toshiba Corporation, IEEE 1991, pp. 26.2.1-26.2.4, Dec. 8-11, 1991, 4 pages, Doc 0187.
  • Patel—“A Novel Body Contact for SIMOX Based SOI MOSFETs”, Solid-State Electronics vol. 34, No. 10, pp. 1071-1075, Apr. 22, 1991, 6 pages, Doc 3000.
  • Katzin—“High Speed 100+ W RF Switched Using GaAs MMICs”, IEEE Transactions on Microwave Theory and Techniques, Nov. 1992, pp. 1989-1996, 8 pages, Doc 0194.
  • Armijos—“High Speed DMOS FET Analog Switches and Switch Arrays”, Temic Semiconductors Jun. 22, 1994, pp. 1-10, 10 pages, Doc 0202.
  • LI—“Suppression of Geometric Component of Charge Pumping Current SOI/MOSFETs”, Proc. Int. Symp. VLSI Technology, Systems & Applications (IEEE May 31-Jun. 2, 1995), pp. 144-148, 5 pages, Doc 8016.
  • Chan—“A Novel SOI CBiCMOS Compatible Device Structure for Analog and Mixed-Mode Circuits”, Dept. of EECS, University of California at Berkeley, IEEE Nov. 1995, pp. 40-43, 4 pages, Doc 1078.
  • Kohama—“High Power DPDT Antenna Switch MMIC for Digital Cellular Services”, IEEE Journal of Solid-State Circuits, Oct. 1996, pp. 1406-1411, 6 pages, Doc 0244.
  • Tenbroek—“Electrical Measure of Silicon Film and Oxide Thickness in Partially Depleted SOI Technologies”, Solid-State Electronics, vol. 39, No. 7, pp. 1011-1014, Nov. 14, 1995, 4 pages. Doc 8019.
  • NEC Corporation—“uPG13xG Series L-Band SPDT Switch GaAs MMIC”, Document No. P1096EJ1VOANDO (1st Edition), Feb. 1996, 30 pages, Doc 0248.
  • Kuge—“SOI-DRAM Circuit Technologies for Low Power High Speed Multigiga Scale Memories”, Jun. 8-10, 1995, IEEE Journal of Solid-State Circuits, vol. 31, No. 4, Apr. 1996, pp. 586-591, 6 pages, Doc 0259.
  • Fung—“Frequency Dispersion in Partially Depleted SOI MOSFET Output Resistance”, Proceedings 1996 IEEE International SOI Conference, Oct. 1996, pp. 146-147, 2 pages, Doc 0268.
  • Yamamoto—“A Single-Chip GaAs RF Transceiver for 1.9GHz Digital Mobile Communication Systems”, IEEE Dec. 1996, pp. 1964-1973, 10 pages, Doc 0255.
  • Johnson—“Silicon-On-Sapphire Technology for Microwave Circuit Applications”, Dissertation UCSD Jan. 1997, IEEE May 1998, pp. 1-184, 214 pages, Doc 0288.
  • Koh—“1Giga Bit SOI DRAM with Fully Bulk Compatible Process and Body-Contacted SOI MOSFET Structure”, IEEE Dec. 10, 1997, pages, Doc 8021.
  • Maeda—“A Highly Reliable .35 μm Field Body-Tied SOI Gate Array for Substrate-Bias-Effect Free Operation”, 1997 Symposium on VLSI Technology Digest of Technical Papers, Jun. 10-12, 1997, 2 pages, Doc 8020.
  • Koh—“Body-Contacted SOI MOSFET Structure with Fully Bulk CMOS Compatible Layout and Process”, IEEE Electron Device Letters, vol. 18, No. 3, Mar. 1997, pp. 102-104, 3 pages, Doc 0305.
  • Huang—“Device Physics, Performance Simulations and Measured Results of SOI MOS and DTMOS Transistors and Integrated Circuits”, Beijing Microelectronics Technology Institute, Oct. 23, 1998 IEEE, pp. 712-715, 4 pages, Doc 0333.
  • Hirota—“0.5V 320MHz 8b Multiplexer/Demultiplier Chips Based on a Gate Array with Regular-Structured DRMOS/SOI”, Feb. 5-7, 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition, pp. 12.2-1-12.2-11, 11 pages, Doc 0351.
  • Chuang—“SOI for Digital CMOS VLSI Design: Design Considerations and Advances”, Proceedings of the IEEE vol. 86, No. 4, Apr. 1, 1998 pp. 689-720, 32 pages, Doc 1079.
  • Duyet—“Suppression of Geometric Component of Charge Pumping Current in Thin Film Silicon on insulator Metal-Oxide-Semiconductor Field-Effect Transistors”, Japanese Journal of Applied Physics, Jul. 15, 1998, vol. 37, pp. L855-858, 4 pages, Doc 0729.
  • Gil—“A High Speed and Low Power SOI Inverter using Active Body-Bias”, Proceedings International Symposium on Low Power Electronics and Design, Sep. 1998, pp. 59-63, 5 pages, Doc 0359.
  • Tseng—“AC Floating-Body Effects an Submicron Fully Depleted (FD) SOI nMOSFETs and the Impact on Analog Applications”, IEEE Electron Devices, vol. 19, No. 9, Sep. 1998, pp. 351-353, 3 pages, Doc 0362.
  • Duyet—“Effects of Body Reverse Pulse Bias on Geometric Component of Charge Pumping Current in FD SOI MOSFETs”, Proceedings IEEE Intl SOI Conference, Oct. 5-8, 1998, pp. 79-80, 2 pages, Doc 0364.
  • Chung—“A New SOI MOSFET Structure with Junction Type Body Contact”, International Electron Device Meeting (IEDM) Technical Digest, Dec. 5-8, 1999, pp. 59-62, 4 pages, Doc 0379.
  • Devlin—“The Design of Integrated Switches and Phase Shifters”, Nov. 24, 1999, 15 pages, Doc 0381.
  • Lim—“Partial SOI LDMOSFETs for High-Side Switching”, Dept. of Engineering, University of Cambridge, Oct. 5-9, 1999 IEEE, pp. 149-152, 4 pages, Doc 0393.
  • Maeda—“Substrate Bias Effect and Source Drain Breakdown Characteristics in Body Tied Short Channel SOI MOSFETs”, IEEE Transactions on Electron Devices, vol. 46, No. 1, Jan. 1999, pp. 151-158, 8 pages, Doc 0397.
  • Rodgers—“Silicon UTSi CMOS RFIC for CDMA Wireless Communications System”, IEEE MTT-S Digest, Jun. 14-15, 1999, pp. 485-488, 4 pages, Doc 0406.
  • Yamamoto—“A 2.2-V Operation, 2.4-GHz Single-Chip GaAs MMIC Transceiver for Wireless Applications”, IEEE Journal of Solid-State Circuits, vol. 34, No. 4, Apr. 1999, pp. 502-512, 11 pages, Doc 0417.
  • Chen—“Low Power, Multi-Gigabit DRAM Cell Design Issues Using SOI Technologies”, http://bwrc.eecs.berkeley.edu/people/grad_students/chenff/reports, May 14, 1999, 6 pages, Doc 0418.
  • Allen—“Characterization and Modeling of Silicon-on-Insulator Field Effect Transistors”, Department of Electrical Engineering and Computer Science, MIT May 20, 1999, 80 pages, Doc 0419.
  • Tseng—“AC Floating-Body Effects and the Resultant Analog Circuit Issues in Submicron Floating Body and Body-Grounded SOI MOSFETs”, IEEE Transactions on Electron Devices, vol. 46, No. 8, Aug. 1999, 8 pages, Doc 0420.
  • Fung—“Controlling Floating-Body Effects for 0.13 μm and 0.10 μm SOI CMOS”, IDEM 00-231-234, Dec. 10-13, 2000, IEEE, 4 pages, Doc 8017.
  • Imam—“A Simple Method to Determine the Floating-Body Voltage of SOI CMOS Devices”, IEEE Electron Device Letters, vol. 21, No. 1, Jan. 2000, pp. 21-23, 3 pages, Doc 0441.
  • Kanda—“A Si RF Switch MMIC for the Cellular Frequency Band Using SOI-CMOS Technology”, The Institute of Electronics, Information and Communication Engineers, vol. 100, No. 152, Jun. 2000, pp. 79-83, 5 pages, Doc 0443.
  • Shahidi—“Issues in SOI CMOS Technology and Design”, IEEE 2000 Custom Integrated Circuits Conference, Publication/Presentation dated May 21, 2000, 78 pages, Doc 8014.
  • Horiuchi—“A Dynamic-Threshold SOI Device with a J-FET Embedded Source Structure and a Merged Body-Bias-Control Transistor—Part II: Circuit Simulation”, IEEE Transactions on Electron Devices, vol. 47, No. 8, Aug. 2000, pp. 1593-1598, 6 pages, Doc 0457.
  • Horiuchi—“A Dynamic-Threshold SOI Device with a J-FET Embedded Source Structure and a Merged Body-Bias-Control Transistor—Part I: A J-Fet Embedded Source Structure Properties”, IEEE Transactions on Electron Devices, vol. 47, No. 8, Aug. 2000, pp. 1587-1592, 6 pages, Doc 0456.
  • Scheinberg—“A Computer Simulation Model for Simulating Distortion in FET Resistors”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, No. 9, Sep. 2000, pp. 981-989, 9 pages, Doc 0461.
  • Cristoloveanu—“The Four-Gate Transistor”, Institute of Microelectronics, Electromagnetism and Photonics, ESSDERC Sep. 24-26, 2002, pp. 323-326, 4 pages, Doc 0478.
  • Reedy—“Utsi CMOS: A Complete RF SOI Solution”, Peregrine Semiconductor Nov. 2000, pp. 1-6, 6 pages, Doc 0508.
  • Yamamoto—“A 2.4GHz Band 1.8V Operation Single Chip SI-CMOS T/R MMIC Front End with a Low Insertion Loss Switch”, IEEE Journal of Solid-State Circuits, vol. 36, No. 8, Aug. 2001, pp. 1186-1197, 12 pages, Doc 0527.
  • Adan—“OFF-State Leakage Current Mechanisms in BulkSi and SOI MOSFETs and Their Impact on CMOS ULSIs Standby Current”, IEEE Transactions on Electron Devices, vol. 48, No. 9, Sep. 2001, pp. 2050-2057, 8 pages, Doc 0528.
  • Goldman—“0.15 μm SOI DRAM Technology Incorporating Sub-Volt Dynamic Threshold Devices for Embedded Mixed-Signal & RF Circuits”, Oct. 1-4, 2001 IEEE SOI Conference, pp. 97-98, 2 pages, Doc 0531.
  • Fung—“Present Status and Future Direction of BSIM SOIL Model for High-Performance/Low-Power/RF Application”, IBM Microelectronics, Semiconductor Research and Development Center, April 2002, 4 pages, Doc 0554.
  • Adan—“Linearity and Low-Noise Performance of SOI MOSFETs for RF Applications”, IEEE Transactions on Electron Devices, May 2002 vol. 49, No. 5, pp. 881-888, 8 pages, Doc 0555.
  • Akarvardar—“Multi-Bias Dependence of Threshold Voltage, Subthreshold Swing, and Mobility in G4-FETs”, Institute of Microelectronics, Electromagnetism, and Photonics, IEEE Oct. 2003, pp. 127-130, 4 pages, Doc 1075.
  • Dufrene—“The G4-FET: Low Voltage to High Voltage Operation and Performance”, Dept. of Electrical and Computer Engineering, The University of Tennessee, IEEE Jan. 2003, pp. 55-56, 2 pages, Doc 0565.
  • Marks—“SOI for Frequency Synthesis in RF Integrated Circuits”, Thesis submitted to North Carolina State University, May 2003, 155 pages, Doc 0574.
  • Zhu Ming—“A New Structure of Silicon-on-Insulator Metal-Oxide Semiconductor Field Effect Transistor to Suppress the Floating Body Effect”, Nov. 4, 2002, Chin. Phys. Lett., vol. 20, No. 5 (2003) pp. 767-769, 3 pages, Doc 0575.
  • Fung—“On the Body-Source Built-In Potential Lowering of SOI MOSFETS”, IEEE Electron Device Letters, vol. 24, No. 2, Feb. 2003, pages, Doc 8018.
  • Tinella—“Study of the Potential of CMOS-SOI Technologies Partially Abandoned for Radiofrequency Applications”, Thesis for obtaining the standard of Doctor of INPG, National Polytechnic of Grenoble, Sep. 25, 2003, 187 pages, Doc 0594.
  • De Houck—“Design of EEPROM Memory Cells in Fully Depleted ‘CMOS SOI Technology’”, Universite Catholique de Louvain Faculty of Applied Science, Laboratory of Electronics and Microelectronics, Academic Year 2003-2004, Jan. 2003, 94 pages, Doc 0599.
  • Streetman—“Solid State Electronic Devices”, Microelectronics Research Center, Dept. of Electrical and Computer Engineering, The University of Texas at Austin, Chapter 6, Jan. 2004 by Pearson Education Inc., 4 pages, Doc 0602.
  • Zhu—“Simulation of Suppression of Floating-Body Effect in Partially Depleted SOI MOSFET Using a Sil-xGex Dual Source Structure”, Materials Science and Engineering B 114-115 Dec. 15, 2004, pp. 264-268, 5 pages, Doc 0604.
  • Chen—“G4-FET Based Voltage Reference”, Masters Theses, University of Tennessee, Knoxville, Trace: Tennessee Research and Creative Exchange, May 2004, 57 pages, Doc 0607.
  • Ippoushi—“SOI Structure Avoids Increases in Chip Area and Parasitic Capacitance Enables Operational Control of Transistor Threshold Voltage”, Renesas Edge, vol. 2004.5, Jul. 2004, p. 15, 1 page, Doc 0610.
  • Akarvardar—“Threshold Voltage Model of the SOI 4-Gate Transistor”, 2004 IEEE International SOI Conference, October 4-7, 2004, pp. 89-90, 2 pages, Doc 0613.
  • Dufrene—“Investigation of the Four-Gate Action in G4-FETs”, IEEE Transactions on Electron Devices, vol. 51, No. 11, Dec. 2004, pp. 1931-1935, 5 pages, Doc 0617.
  • Cathelin—“Antenna Switch Devices in RF Modules for Mobile Applications”, ST Microelectronics, Front-End Technology Manufacturing, Crolles, France, Mar. 2005, 42 pages, Doc 0623.
  • Analog Devices—“LC2MOS High Speed, Quad SPST Switch”, Rev. B, 8 pages, Apr. 1988, Doc 1076.
  • Analog Devices—“LC2MOS Quad SPST Switch”, Rev. B, 6 pages, Jul. 1992, Doc 1077.
  • Le TMOS en technologie SOI, 3.7.2.2 Pompage de charges, pp. 110-111, 2 pages, Doc 1081.
  • Linear Systems—“High-Speed DMOS FET Analog Switches and Switch Arrays”, 11 pages, Doc 1082.
  • Orndorff—“CMOS/SOS/LSI Switching Regulator Control Device”, IEEE International Solid-State Circuits Conference, ISSCC 78, Feb. 1978, pp. 234-235, 282, 3 pages, Doc 0151.
  • Nelson Pass—Pass Labs, “Cascode Amp Design”, Audio Electronics, pp. 1-4, Mar. 1978, 4 pages, Doc 0153.
  • Kwok—“An X-Band SOS Resistive Gate Insulator Semiconductor (RIS) Switch”, IEEE Transactions on Electron Device, Feb. 1980, pp. 442-448, 7 pages, Doc 0154.
  • Ayasli—“An X-Band 10 W Monolithic Transmit-Receive GaAs FET Switch”, Raytheon Research Division, May 31-Jun. 1, 1983 IEEE, pp. 42-46, 5 pages, Doc 0155.
  • Ayasli—“Microwave Switching with GaAs FETs”, Microwave Journal, Nov. 1982, pp. 719-723, 10 pages, Doc 0156.
  • Pucel—“A Multi-Chip GaAs Monolithic Transmit/Receive Module for X-Band”, Research Division. Raytheon Company, Jun. 15-17, 1982 IEEE MTT-S Digest, pp. 489-492, 4 pages, Doc 0157.
  • Sedra—“Microelectronic Circuits”, University of Toronto, Oxford University Press, Fourth Edition, 1982, 1987, 1991, 1998, pp. 374-375, 4 pages, Doc 0158.
  • Ayasli—“A Monolithic Single-Chip X-Band Four-Bit Phase Shifter”, IEEE Transactions on Microwave Theory and Techniques, vol. MTT-30, No. 12, Dec. 1982, pp. 2201-2206, 6 pages, Doc 0159.
  • Heller—“Cascode Voltage Switch Logic: A Different CMOS Logic Family”, IEEE International Solid-State Circuits Conference, Feb. 22-24, 1984, pp. 16-17, 2 pages, Doc 0160.
  • Gopinath—“GaAs FET RF Switches”, IEEE Transactions on Electron Devices, Jul. 1985, pp. 1272-1278, 7 pages, Doc 0161.
  • Yamao—“GaAs Broadband Monolithic Switches”, 1986, pp. 63-71, 10 pages, Doc 0162.
  • Barker—“Communications Electronics-Systems, Circuits and Devices”, Jan. 1, 1987 Prentice-Hall, 347 pages, Doc 0163 (A-D).
  • Harjani—“A Prototype Framework for Knowledge Based Analog Circuit Synthesis”, IEEE Design Automation Conference, Jun. 28-Jul. 1, 1987, pp. 42-49, 8 pages, Doc 0164.
  • Colinge—“An SOI Voltage-Controlled Bipolar-MOS Device”, IEEE Transactions on Electron Devices, vol. ED-34, Apr. 1987, pp. 845-849, 5 pages, Doc 0165.
  • Schindler—“DC-40 GHz and 20-40GHz MMIC SPDT Switches”, IEEE Transactions of Electron Devices, vol. ED-34, No. 12, Dec. 1987, pp. 2595-2602, 8 pages, Doc 0167.
  • Colinge—“Fully Depleted SOI CMOS for Analog Applications”, IEEE Transactions on Electron Devices, 1998, pp. 1010-1016, 7 pages, Doc 0168.
  • Nakayama—“A 1.9 GHz Single-Chip RF Front End GaAs MMIC with Low-Distortion Cascode FET Mixer for Personal Handy-Phone System Terminals”, Radio Frequency Integrated Circuits Symposium, 1988, pp. 205-208, 4 pages, Doc 0169.
  • Peregrine Semiconductor Corporation—“An Ultra-Thin Silicon Technology that Provides Integration Solutions on Standard CMOS”, 1988, 4 pages, Doc 0170.
  • Shifrin—“High Power Control Components Using a New Monolithic FET Structure”, IEEE Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1988, pp. 51-56, 6 pages, Doc 0171.
  • Schindler—“DC-20 GHz N X M Passive Switches”, IEEE Transactions on Microwave Theory and Techniques, vol. 36, No. 12, Dec. 1988, pp. 1604-1613, 10 pages, Doc 0172.
  • Eisenberg—“High Isolation 1-20 GHz MMIC Switches with On-Chip Drivers”, IEEE Microwave and Millimeter Wave Monolithic Circuits Symposium, 1989, pp. 41-45, 5 pages, Doc 0173.
  • Houng—“60-70 dB Isolation 2-19 GHz Switches”, Raytheon Electromagnetic Systems Division, 1989 IEEE, GaAs IC Symposium, pp. 173-176, 4 pages, Doc 0174.
  • Schindler—“A 2-18 GHz Non-Blocking Active 2×2 Switch”, Raytheon Company, 1989 IEEE, GaAs IC Symposium, pp. 181-183, 3 page, Doc 0175.
  • Slobodnik—“Millimeter Wave GaAs Switch FET Modeling”, Microwave Journal, 1989, 7 pages, Doc 0176.
  • Chen—“Dual-Gate GaAs FET: A Versatile Circuit Component for MMICs”, Microwave Journal, Jun. 1989, pp. 125-135, 7 pages, Doc 0177.
  • Shifrin—“Monolithic FET Structure for High Power Control Component Applications”, IEEE Transactions on Microwave Theory and Techniques, vol. 37, No. 12, Dec. 1989, pp. 2134-2142, 8 pages, Doc 0178.
  • Schindler—“A High Power 2-18 GHz T/R Switch”, 1988 IEEE, IEEE 1990 Microwave and Millimeter-Wave Circuits Symposium, pp. 119-122, 4 pages, Doc 0180.
  • Schindler—“A Single Chip 2-20 GHz T/R Module” 1988 IEEE, IEEE 1990 Microwave and Millimeter-Wave Monolithic Circuits Symposium, pp. 99-102, 4 pages, Doc 0182.
  • Valeri—“A Composite High Voltage Device Using Low Voltage SOI MOSFETs”, IEEE, 1990, pp. 169-170, 2 pages, Doc 0183.
  • Yun—“High Power-GaAs MMIC Switches with Planar Semi-Insulated Gate FETs (SIGFETs)”, International Symposium on Power Semiconductor Devices & Ics, 1990, pp. 55-58, 4 pages, Doc 0184.
  • Wang—“Threshold Voltage Instability at Low Temperatures in Partially Depleted Thin Film SOI MOSFETs”, IEEE SOS/SOI Technology Conference, Jun. 1991, pp. 91-92, 2 pages, Doc 0185.
  • Bernkopf—“ A High Power K/Ka-Band Monolithic T/R Switch”, 1991 IEEE, IEEE 1991 Microwave and Millimeter-Wave Monolithic Circuits Symposium, pp. 15-18, 4 pages, Doc 0186.
  • McGrath—“Multi Gate FET Power Switches”, Applied Microwave 1991, pp. 77-88, 7 pages, Doc 0188.
  • McGrath—“Novel High Performance SPDT Power Switches Using Multi-Gate FETs”, 1991 IEEE, 1991 IEEE MTT-S Digest, pp. 839-842, 4 pages, Doc 0189.
  • Valeri—“A Silicon-on-Insulator Circuit for High Temperature, High-Voltage Applications”, IEEE, 1991, pp. 60-61, 2 pages, Doc 0191.
  • Giffard: “Dynamic Effects in SOI MOSFETs”, IEEE SOS/SOI Technology Conference, Oct. 1991, pp. 160-161, 2 pages, Doc 0192.
  • Baker—“Stacking Power MOSFETs for Use in High Speed Instrumentation”, American Institute of Physics, 1992, pp. 5799-5801, 3 pages, Doc 0193
  • Eron—“Small and Large Signal Analysis of MESETs as Switches”, Microwave Journal, 1995, 7 pages, Doc 0216.
  • Kusunoki—“SPDT Switch MMIC Using E/D Mode GaAs JFETs for Personal Communications”, IEEE GaAs IC Symposium, 1992, pp. 135-138, 4 pages, Doc 0195.
  • Shifrin—“A New Power Amplifier Topology with Series Biasing and Power Combining of Transistors”, IEEE 1992 Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1992, pp. 39-41, 3 pages, Doc 0196.
  • Van Der Pujie—“Telecommunication Circuit Design”, Wiley 1992, 187 pages, Doc 0197 (A-B).
  • Baker—“Series Operation of Power MOSFETs for High Speed Voltage Switching Applications”, American Institute of Physics, 1993, pp. 1655-1656, 2 pages, Doc 0198.
  • Devlin—“A 2.4 GHz Single Chip Transceiver”, Microwave and Millimeter-Wave Monolithic Circuits Symposium 1993, pp. 23-26, 4 pages, Doc 0199.
  • Uda—“High Performance GaAs Switch IC's Fabricated Using MESFETs with Two Kinds of Pinch Off Voltages”, IEEE GaAs IC Symposium, 1993, pp. 247-250, 4 pages, Doc 0200.
  • Apel—“A GaAs MMIC Transceiver for 2.45 GHz Wireless Commercial Products”, Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1994, pp. 15-18, 4 pages, Doc 0201.
  • Assaderaghi—“A Dynamic Threshold Voltage Mosfet (DTMOS) for Ultra-low Voltage Operation”, 1994, IEEE p. 33.1.1-33.1.4, 4 pages, Doc 0203.
  • Baker—“Designing Nanosecond High Voltage Pulse Generators Using Power MOSFETs”, Electronic Letters, 1994, pp. 1634-1635, 2 pages, Doc 0204.
  • Caverly—“Distortion in GaAs MESFET Switch Circuits”, 1994, 5 pages, Doc 0205.
  • Miyatsuji—“A GaAs High Power RF Single Pole Double Throw Switch IC for Digital Mobile Communication System”, IEEE International Solid-State Circuits Conference, 1994, pp. 34-35, 2 pages, Doc 0206.
  • Puechberty—“A GaAs Power Chip Set for 3V Cellular Communications”, 1994, 4 pages, Doc 0207.
  • Szedon—“Advanced Silicon Technology for Microwave Circuits.” Naval Research Laboratory, 1994, pp. 1-110, 122 pages, Doc 0208.
  • Uda—“High-Performance GaAs Switch IC's Fabricated Using MESFETs with Two Kinds of Pinch-off Voltages and a Symmetrical Pattern Configuration”, IEEE Journal of Solid-State Circuits, vol. 29, No. 10, Oct. 1994, pp. 1262-1269, 8 pages, Doc 0209.
  • Assaderaghi—“Dynamic Threshold Voltage MOSFET (DTMOS) for Ultra Low Voltage Operation”, International Electron Devices Meeting, Dec. 1994, pp. 809-812, 4 pages, Doc 0212.
  • Abidi—“Low Power Radio Frequency IC's for Portable Communications”, IEEE 1995, pp. 544-569, 26 pages, Doc 0213.
  • Couch—“Modern Communication System”, Prentice-Hall, 1995, 316 pages, Doc 0214 (A-D).
  • De La Houssaye—“Microwave Performance of Optically Fabricated T-Gate Thin Film Silicon on Sapphire Based MOSFETs”, IEEE Electron Device Letters, 1995, pp. 289-292, 4 pages, Doc 0215.
  • Gautier—“Body Charge Related Transient Effects in Floating Body SOI NMOSFETs”, IEDM Tech. Digest 1995, pp. 623-626, 4 pages, Doc 0217.
  • Hittite Microwave—“Miniature Dual Control SP4T Switches for Low Cost Multiplexing”, Hittite Microwave, 1995, 5 pages, Doc 0218.
  • Ionescu—“A Physical Analysis of Drain Current Transients at Low Drain Voltage in Thin Film SOI MOSFETs”, Microelectronic Engineering 28 (1995), pp. 431-434, 4 pages, Doc 1085.
  • Keys—“Low Distortion Mixers or RF Communications”, Ph.D. Thesis, University of California—Berkeley, 1995, 135 pages, Doc 0219.
  • Kohama—“High Power DPDT Antenna Switch MMIC for Digital Cellular Systems”, GaAs IC Symposium, 1995, pp. 75-78, 4 pages, Doc 0220.
  • Lovelace—“Silicon MOSFET Technology for RF Ics”, IEEE 1995, pp. 1238-1241, 4 pages, Doc 0221.
  • Matsumoto—“Fully Depleted 30-V-Class Thin Film SOI Power MOSFET”, IEDM 95-979, Dec. 10-13, 1995, pp. 38.6.1-38.6.4, 4 pages, Doc 0222.
  • McGrath—“A 1.9-GHz GaAs Chip Set for the Personal Handyphone System”, IEEE Transaction on Microwave Theory and Techniques, 1995, pp. 1733-1744, 12 pages, Doc 0223.
  • Microwave Journal—“A Voltage Regulator for GaAs FETs”, Microwave Journal 1995, 1 page, Doc 0224.
  • Miyatsuji—“A GaAs High Power RF Single Pole Dual Throw Switch IC for Digital Mobile Communication System”, IEEE Journal of Solid-State Circuits, 1995, pp. 979-983, 5 pages, Doc 0226.
  • Sanders—“Statistical Modeling of SOI Devices for the Low Power Electronics Program”, AET, Inc., 1995, pp. 1-109, 109 pages, Doc 0227.
  • Tokumitsu—“A Low-Voltage, High-Power T/R-Switch MMIC Using LC Resonators”, IEEE Transactions on Microwave Theory and Techniques, vol. 43, No. 5, May 1995, pp. 997-1003, 7 pages, Doc 0228.
  • Morishita—“Leakage Mechanism Due to Floating Body and Countermeasure on Dynamic Retention Mode of SOI-DRAM”, 1995 Symposium on VLSI Technology Digest of Technical Papers, Apr. 1995, pp. 141-142, 2 pages, Doc 0229.
  • Suh—“A Physical Change-Based Model for Non-Fully Depleted SOI MOSFETs and Its Use in Assessing Floating-Body Effects in SOI SMOS Circuits”, IEEE Transactions on Electron Devices, vol. 42, No. 4, Apr. 1995, pp. 728-737, 10 pages, Doc 0230.
  • Cherne—U.S. Statutory Invention Registration No. H1435, published May 2, 1995, 12 pages, Doc 0232.
  • Ota—“High Isolation and Low Insertion Loss Switch IC Using GaAa MESFETS”, IEEE Transactions on Microwave Theory and Techniques, vol. 43, No. 9, Sep. 1995, pp. 2175-2177, 3 pages, Doc 0233.
  • Chan—“Comparative Study of Fully Depleted and Body-Grounded Non Fully Depleted SOI MOSFETs for High Performance Analog and Mixed Signal Circuits”, IEEE Transactions on Electron Devices, vol. 42, No. 11, Nov. 1995, pp. 1975-1981, 7 pages, Doc 0234.
  • Assaderaghi—“History Dependence of Non-Fully Depleted (NFD) Digital SOI Circuits”, 1996 Symposium on VLSI Technology Digest of Technical Papers 13.1, 1996, pp. 122-123, 2 pages, Doc 0235.
  • Burghartz—“Integrated RF and Microwave Components in BiCMOS Technology”, IEEE Transactions on Electron Devices, 1996, pp. 1559-1570, 12 pages, Doc 0236.
  • Colinge—“A Low Voltage Low Power Microwave SOI MOSFET”, IEEE International SOI Conference, 1996, pp. 128-129, 2 pages, Doc 0237.
  • Douseki—“A 0.5v SIMOX-MTMCOS Circuit with 200ps Logic Gate”, IEEE International Solid-State Circuits Conference 1996, pp. 84-85, 423, 3 pages, Doc 0238.
  • Eggert—“CMOS/SIMOX-RF-Frontend for 1.7 GHz”, Solid State Circuits Conference, 1996, 4 pages, Doc 0239.
  • Gentinne—“Measurement and Two-Dimensional Simulation of Thin-Film SOI MOSFETs: Intrinsic Gate Capacitances at Elevated Temperatures”, Solid-State Electronics, vol. 39, No. 11, pp. 1613-1619, 1996, 7 pages, Doc 0240.
  • Hagan (or Hagen)—Radio Frequency Electronics:, Cambridge University Press 1996, 194 pages, Doc 0241(A-B).
  • Imai—“Novel High Isolation FET Switches”, IEEE Transactions on Microwave Theory and Techniques 1996, pp. 685-691, 7 pages, Doc 0242.
  • Intersil—“RF Amplifier Design Using HFA3046, HFA3096, HFA3127, HFA3128 Transistor Arrays”, Intersil Corporation 1996, pp. 1-4, 4 pages, Doc 0243.
  • Kuroda—“A 0.9-V, 150-Mhz, 10-mW, 4 mm2, 2-D Discrete Cosine Transform Core Processor with Variable-Threshold-Voltage (VT) Scheme”, Technical Paper, 1996 IEEE International Solid-State Circuits Conference, 1996 Digest of Technical Papers, pp. 166-167, 14 pages, Doc 0245.
  • Larson—“RF and Microwave Circuit Design for Wireless Communications”, Artech House 1996, 218 pages, Doc 0246 (A-C).
  • Nakayama—“A 1.9 GHz Single-Chip RF Front-End GaAs MMIC for Persona Communications”, Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1996, pp. 69-72, 4 pages, Doc 0247.
  • Soyuer—“RF and Microwave Building Blocks in a Standard BiCMOS Technology”, IBM T.J. Watson Research Center, 1996 IEEE, pp. 89-92, 4 pages, Doc 0249.
  • Suematsu—“L-Band Internally Matched Si-MMIC Front End”, IEEE, 1996, pp. 2375-2378, 4 pages, Doc 0250.
  • Titus—“A Silicon BICMOS Transceiver Front-End MMIC Covering 900 and 1900 MHZ Applications”, Hittite Microwave Corporation, IEEE 1996 Microwave and Millimeter-Wave Monolithic Circuits Symposium, pp. 73-75, 4 pages, Doc 0251.
  • Uda—“A High Performance and Miniturized Dual Use (antenna/local) GaAs SPDT Switch IC Operating at +3V/0V”, Microwave Symposium Digest, 1996, pp. 141-144, 4 pages, Doc 0252.
  • Uda—“Miniturization and High Isolation of GaAs SPDT Switch IC Mounted in Plastic Package”, 1996, 8 pages, Doc 0253.
  • Yamamoto—“A GaAs RF Transceiver IS for 1.9GHz Digital Mobile Communication Systems”, ISSCC96, 1996, pp. 340-341,469, 3 pages, Doc 0254.
  • Fuse—“0.5V SOI CMOS Pass-Gate Logic”, 1996 IEEE Intl. Solid-State Circuits Conference, pp. 88-89, 424, 3 pages, Doc 0257.
  • Iyama—“L-Band SPDT Switch Using Si-MOSFET”, IEICE Trans. Electron, vol. E79-C, No. 5, May 1996, pp. 636-643, 8 pages, Doc 0260.
  • Pelella—“Low-Voltage Transient Bipolar Effect Induced by Dynamic Floating-Body Charging in Scaled PD/SOI MOSFETs”, IEEE Electron Device Letters, vol. 17, No. 5, May 1996, 3 pages, Doc 0261.
  • Wei—“Measurements of Transient Effects in SOI DRAM/SRAM Access Transistors”, IEEE Electron Device Letters, vol. 17, No. 5, May 1996, pp. 193-195, 3 pages, Doc 0262.
  • Wei—“Measurement and Modeling of Transient Effects in Partially Depleted SOI MOSFETs”, M.S. Thesis, MIT, Jul. 1996, 76 pages, Doc 0265.
  • Lu—“Floating Body Effects in Partially Depleted SOI CMOS Circuits”, ISPLED, Aug. 1996, pp. 1-6, 6 pages, Doc 0266.
  • Madihian—“A High Speed Resonance Type FET Transceiver Switch for Millimeter Wave Band Wireless Networks”, 26th EuMC, 1996, pp. 941-944, 4 pages, Doc 1084.
  • Chung—“A New SOI Inverter for Low Power Applications”, IEEE SOI Conference, Oct. 1996, pp. 20-21, 2 pages, Doc 0267.
  • Ueda—“Floating Body Effects on Propagation Delay in SOI/CMOS LSIs”, IEEE SOI Conference, Oct. 1996, pp. 142-143, 2 pages, Doc 0269.
  • Kuroda—“A 0.9-V, 150-Mhz, 10-mW, 4 mm2, 2-D Discrete Cosine Transform Core Processor with Variable-Threshold-Voltage (VT) Scheme”, IEEE Journal of Solid-State Circuits, vol. 31, No. 11, Nov. 1996, pp. 1770-1779, 10 pages, Doc 0270.
  • Botto—“Series Connected Soft Switched IGBTs for High Power, High Voltage Drives Applications: Experimental Results,” IEEE 1997, pp. 3-7, 5 pages, Doc 0271.
  • Carr—“Secrets of RF Circuit Design”, McGraw-Hill, 1997, 293 pages, Doc 0272 (A-D).
  • Caverly—“A Project Oriented Undergraduate CMOS Analog Microelectronic System Design Course”, IEEE, 1997, pp. 87-88, 2 pages, Doc 0274.
  • Caverly—Distortion in Microwave Control Devices, 1997, 10 pages, Doc 0275.
  • Caverly—“Distortion Properties of Gallium Arsenide and Silicon RF and Microwave Switches”, IEEE, 1997, pp. 153-156, 4 pages, Doc 0276.
  • Crols—“CMOS Wireless Transceiver Design”, Kluwer Academic, 1997, 214 pages, Doc 0277 (A-C).
  • Eggert—“A SOI-RF-CMOS Technology on High Resistivity SIMOX Substrates for Microwave Applications to 5 GHz”, IEEE Transactions on Electron Devices, 1997, pp. 1981-1989, 9 pages, Doc 0278.
  • Freeman—“Radio System Design for Telecommunications”, Wiley, 1997, 461 pages, Doc 0279 (A-F).
  • Gibson—“The Communication Handbook”, CRC Press, 1997, 812 pages, Doc 0280 (A-R).
  • Hickman—“Practical RF Handbook”, Newnes 1997, 270 pages, Doc 0281 (A-D).
  • Huang—“TFSOI Can It Meet the Challenge of Single Ship Portable Wireless Systems”, IEEE International SOI Conference, 1997, pp. 1-3, 3 pages, Doc 0282.
  • Ishida—“A Low Power GaAs Front End IC with Current Reuse Configuration Using 0.15 μm Gate GaAs MODFETs”, IEEE 1997, pp. 669-672, 4 pages, Doc 0283.
  • Iwata—“Gate Over Driving CMOS Architecture for 0.5V Single Power Supply Operated Devices”, IEEE 1997, pp. 290-291, 3, pages, Doc 0284.
  • Johnson—“A Model for Leakage Control by MOS Transistor Stacking”, ECE Technical Papers, 1997, pp. 1-28, 34 pages, Doc 0285.
  • Johnson—“Advanced High-Frequency Radio Communication”, Artech House 1997, 205 pages, Doc 0286 (A-C).
  • Johnson—“Silicon-On-Sapphire MOSFET Transmit/Receive Switch for L and S Band Transceiver Applications”, Electronic Letters, 1997, pp. 1324-1326, 3 pages, Doc 0287.
  • Kanda—“High Performance 19 GHz Band GaAs FET Using LOXI (Layered Oxide Isolation)—MESFETs”, IEEE, 1997, pp. 62-65, 4 pages.
  • Lossee—“RF Systems, Components, and Circuits Handbook”, Artech House 1997, 314 pages, Doc 0290 (A-D).
  • Madihan—“A 2-V, 1-10GHz BiCMOS Transceiver Chip for Multimode Wireless Communications Networks”, IEEE 1997, pp. 521-525, 5 pages, Doc 0291.
  • Nishijima—“A High Performance Transceiver Hybrid IC for PHS Hand Set Operating with Single Positive Voltage Supply”, Microwave Symposium Digest 1997, pp. 1155-1158, 4 pages, Doc 0293.
  • Philips Semiconductors—“SA630 Single Pole Double Throw (SPDT) Switch”, 1997, 14 pages, Doc 0294.
  • Razavi—“Next Generation RF Circuits and Systems”, IEEE 1997, pp. 270-282, 13 pages, Doc 0295.
  • Schaper—“Communications, Computations, Control, and Signal-Processing”, Kluwer Academic, 1997, 308 pages, Doc 0296 (A-D).
  • Suematsu—“On-Chip Matching SI-MMIC for Mobile Communication Terminal Application”, IEEE 1997, pp. 9-12, 4 pages, Doc 0297.
  • Wada—“Active Body-Bias SOI-CMOS Driver Circuits”, Symposium on VLSI Circuits Digest of Technical Papers, 1997, pp. 29-30, 2 pages, Doc 0298.
  • Fuse—“A 0.5V 200MHz 1-Stage 32b ALU Using a Body Bias Controlled SOI Pass-Gate Logic”, IEEE Intl Solid-State Circuits Conference, Feb. 1997, 3 pages, Doc 0299.
  • Shimomura—“TP 4.3: A 1V 46ns 16Mb SOI-DRAM with Body Control Technique”, 1997 IEEE Intl Solid-State Circuits Conference, Feb. 1997, 9 pages, Doc 0300.
  • Ueda—“A CAD Compatible SOI/CMOS Gate Array Having Body Fixed Partially Depleted Transistors”, IEEE International Solid-State Circuits Conference, Feb. 8, 1997, pp. 288-289, 3 pages, Doc 0301.
  • Assaderaghi—“Dynamic Threshold Voltage MOSFET (DTMOS) for Ultra Low Voltage VLSI”, IEEE Transactions on Electron Devices, vol. 44, No. 3, Mar. 1997, pp. 414-422.
  • Schlechtweg—“Multifunctional Integration Using HEMT Technology”, Fraunhofer Institute for Applied Solid State Physics, (date uncertain, believed Mar. 1997), 18 pages, Doc 0306.
  • Rohde—“Optic/Millimeter-Wave Converter for 60 GHz Radio-Over-Fiber Systems”, Fraunhofer-Institut fur Angerwandte Festkorperphysik Freiburg i. Br., Apr. 1997, pp. 1-5, 5 pages, Doc 0307.
  • Smuk—“Monolithic GaAs Multi-Throw Switches with Integrated Low Power Decoder/Driver Logic”, May 1997, IEEE Radio Frequency Integrated Circuits, 4 pages, Doc 0308.
  • Suehle—“Low Electric Field Breakdown of Thin Si02 Films Under Static and Dynamic Stress”, IEEE Transactions on Electron Devices, vol. 44, No. 5, May 1997, 8 pages, Doc 0309.
  • Suehle—“Low Electric Field Breakdown of Thin Si02 Films Under Static and Dynamic Stress”, IEEE Transactions on Electron Devices, vol. 44, No. 5, May 1997. pp 801-808, 8 pages, Doc 0310.
  • Assaderaghi—“Transient Pass-Transistor Leakage Current in SOI MOSFETs”, IEEE Electron Device Letters, vol. 18, No. 6, Jun. 1997, pp. 241-243, 3 pages, Doc 0312.
  • Chung—“A New SOI Inverter Using Dynamic Threshold for Low-Power Applications”, IEEE Electron Device Letters, vol. 18, No. 6, Jun. 1997, pp. 248-250, 3 pages, Doc 0313.
  • Kuang—“SRAM Bitline Circuits on PD SOI: Advantages and Concerns”, IEEE Journal of Solid State Circuits, vol. 32, No. 6, Jun. 1997, pp. 837-844, 8 pages, Doc 0314.
  • Smuk—“Monolithic GaAs Multi-Throw Switches with Integrated Low-Power Decoder-Driver Logic”, Hitite Microwave Corporation, Jun. 1997, 4 pages, Doc 0317.
  • Wang—“Efficiency Improvement in Charge Pump Circuits”, IEEE Journal of Solid-State Circuits, vol. 32, No. 6, Jun. 1997, pp. 852-860, 9 pages, Doc 0318.
  • Caverly—“A Silicon CMOS Monolithic RF and Microwave Switching Element”, 27th European Microwave Conference, 1987, pp. 1046-1051, 10 pages, Doc 0166.
  • Douseki—“A 0.5-V MTCMOS/SIMOX Logic Gate”, IEEE Journal of Solid-State Circuits, vol. 32, No. 10, Oct. 1997, 6 pages, Doc 0320.
  • Krishnan—“Efficacy of Body Ties Under Dynamic Switching Conditions in Partially Depleted SOI CMOS Technology”, Proceedings IEEE Intl SOI Conference, Oct. 1997, pp. 140-141, 2 pages, Doc 0321.
  • Workman—“Dynamic Effects in BTG/SOI MOSFETs and Circuits Due to Distributed Body Resistance”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 28-29, 2 pages, Doc 0322.
  • Shimomura—“A 1-V 46-ns 16-mb SOI-DRAM with Body Control Technique”, IEEE Journal of Solid-State Circuits, vol. 32, No. 11, Nov. 1997, pp. 1712-1720, 9 pages, Doc 0323.
  • Philips Semiconductors—Product Specificate, IC17 Data Handbook, Nov. 7, 1997, pp. 1-14, 14 pages, Doc 0324.
  • Edwards—“The Effect of Body Contact Series Resistance on SOI CMOS Amplifier Stages”, IEEE Transactions on Electron Devices, vol. 44, No. 12, Dec. 1997, pp. 2290-2294, 5 pages, Doc 0325.
  • Caverly—“CMOS RF Circuits for Integrated Wireless Systems”, IEEE 1998, pp. 1-4, 4 pages, Doc 0328.
  • Caverly—“Development of a CMOS Cell Library for RF Wireless and Telecommunications Applications”, VLSI Symposium, 1998, 6 pages, Doc 0329.
  • Caverly—“Nonlinear Properties of Gallium Arsenide and Silicon FET-Based RF and Microwave Switches”, IEEE 1998, pp. 1-4, 4 pages, Doc 0330.
  • Choumei—A High Efficiency, 2V Single Supply Voltage Operation RF Front End MMIC for 1.9GHz Personal Handy Phone Systems:, IEEE, 1998, pp. 73-76, 4 pages, Doc 0331.
  • Henshaw—“Design of an RF Transceiver”, IEEE Colloquium on Analog Signal Processing, 1998, 6 pages, Doc 0332.
  • Johnson—“Advanced Thin Film Silicon-on-Sapphire Technology: Microwave Circuit Applications”, IEEE Transactions on Electron Devices, vol. 45, No. 5, May 1988, pp. 1047-1054, 8 pages, Doc 0334 (A-B).
  • Larson—“Integrated Circuit Technology Options for RFICs—Present Status and Future Directions”, IEEE Journal of Solid-State Circuits, 1998, pp. 387-399, 13 pages, Doc 0335.
  • Maas—“The RF and Microwave Circuit Design Cookbook”, Artech House 1998, 149 pages, Doc 0336 (A-B).
  • Masuda—“High Power Heterojunction GaAs Switch IC with P-1dB of More than 38dBm for GSM Application”, IEEE, 1998 pp. 229-232, 4 pages, Doc 0337.
  • Matsumoto—“A Novel High Frequency Quasi-SOI Power MOSFET for Multi-Gigahertz Application”, IEEE, 1998, pp. 945-948, 4 pages, Doc 0338.
  • Megahed—“Low Cost UTSi Technology for RF Wireless Applications”, Peregrine Semiconductor Corporation, IEEE MTT-S Digest, 1998, pp. 981-984, 4 pages, Doc 0339.
  • Moye—“A Compact Broadband, Six-Bit MMIC Phasor with Integrated Digital Drivers+”, IEEE 1990 Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1998 IEEE, pp. 123-126, 4 pages, Doc 0341.
  • Nakayama—“A 1.9 GHz Single-Chip RF Front-End GaAs MMIC with Low-Distortion Cascade FET Mixer for Personal Handy-Phone System Terminals”, IEEE, 1998, pp. 101-104, Doc 0342.
  • Park—“A Regulated, Charge Pump CMOS DC/DC Converter for Low Power Application”, 1998, pp. 1-62, 62 pages, Doc 0343.
  • Razavi—“RF Microelectronics”, Prentice-Hall, 1998, 179 pages, Doc 0344.
  • Schindler—“DC-20 GHZ N X M Passive Switches”, Raytheon Co., 1998 IEEE MTT-S Digest, pp. 1001-1005, 5 pages, Doc 0345.
  • Smith—“Modern Communication Circuits”, McGraw-Hill 1998, 307 pages, Doc 0347 (A-D).
  • Stuber—“SOI CMOS with High Performance Passive Components for Analog, RF and Mixed Signal Designs”, IEEE International SOI Conference, 1998, pp. 99-100, 2 pages, Doc 0348.
  • Tsutsumi—“A Single Chip PHS Front End MMIC with a True Single +3 Voltage Supply”, IEEE Radio Frequency Integrated Circuits Symposium, 1998, pp. 105-108, 4 pages, Doc 0349.
  • Yamamoto—“Design and Experimental Results of a 2V-Operation Single Chip GaAs T/R MMIC Front-End for 1.9 GHz Personal Communications”, IEEE 1998, pp. 7-12, 6 pages, Doc 0350.
  • Wei—“Effect of Floating-Body Charge on SOI MOSFET Design”, IEEE Transaction on Electron Devices, vol. 45, No. 2, Feb. 1998, 9 pages, Doc 0352.
  • Koh—“Body-Contracted SOI MOSFET Structure and its Application to DRAM”, IEEE Transactions on Electron Devices, vol. 45, No. 5, May 1998, pp. 1063-1070, 8 pages, Doc 0354.
  • Kawakyu—“A 2-V Operation Resonant Type T/R Switch with Low Distortion Characteristics for 1.9GHz PHS”, IEICE Trans Electron, vol. E81-C, No. 6, Jun. 1998, pp. 862-867, 60 pages, Doc 0356.
  • Tseng—“Comprehensive Study on AC Characteristics in SOI MOSFETs for Analog Applications”, 1998 Symposium on VLSI Technology Digest of Technical Papers, Jun. 1998, 2 pages, Doc 0357.
  • Tseng—“Comprehensive Study on AC Characteristics in SOI-MOSFETs for Analog Applications”, 1998 Symposium on VLSI Technology Digest of Technical Papers, Jun. 1998, 2 pages, Doc 0355.
  • Mishra—“High Power Broadband Amplifiers for 1-18 GHz Naval Radar” University of California, Santa Barbara, pp. 1-9, Jul. 1, 1998, 9 pages, Doc 0358.
  • Rossek—“Direct Optical Control of a Microwave Phase Shifter Using GaAs Field-Effect Transistors”, Communications Research Group, School of Electronic Engineering, Faculty of Technology, Middlesex University, Sep. 1998, 224 pages, Doc 0361.
  • Lee—“Effect of Body Structure on Analog Performance of SOI NMOSFETs”, 1988 IEEE International SOI Conference, Oct. 1998, pp. 61-62, 2 pages, Doc 0365.
  • Pelella—“Control of Off-State Current in Scaled PD/SOI CMOS Digital Circuits”, Proceedings IEEE Intl SOI Conference, Oct. 1998, pp. 147-148, 2 pages, Doc 0367.
  • Workman—“A Comparative Analysis of the Dynamic Behavior of BTG/SOI MOSFET's and Circuits with Distributed Body Resistance”, IEEE Transactions and Electron Devices, vol. 45, No. 10, Oct. 1998, pp. 2138-2145, 8 pages, Doc 0368.
  • Mizutani—“Compact DC-60-GHz HJFET MMIC Switches using Ohmic Electrode-Sharing Technology”, IEEE Transactions on Microwave Theory and Techniques, vol. 46, No. 11, Nov. 1998, pp. 1597-1603, 7 pages, Doc 0371.
  • Linear Technology—“LTC1550L/LTC1551L: Low Noise Charge Pump Inverters in MS8 Shrink Cell Phone Designs”, published Dec. 1998, pp. 1-2, 2 pages, Doc 0372.
  • Sleight—“Transient Measurements of SOI Body Contact Effectiveness”, IEEE Electron Device Letters, vol. 19, No. 12, Dec. 1998, pp. 499-501, 3 pages, Doc 0373.
  • Analog Devices—“CMOS, Low Voltage RF/Video, SPST Switch”, Analog Devices, Inc. 1999, pp. 1-10, 10 pages, Doc 0376.
  • Caverly—“High Power Gallium Nitride Devices for Microwave and RF Control Applications”, 1999, pp. 1-30, 30 pages, Doc 0377.
  • Caverly—“Linear and Nonlinear Characteristics of the Silicon CMOS Monolithic 50-Omega Microwave and RF Control Element”, IEEE Journal of Solid-State Circuits, vol. 34, No. 1, Jan. 1999, pp. 124-126, 3 pages, Doc 0378.
  • Derossi—“A Routing Switch Based on a Silicon-on-Insulator Mode Mixer”, IEEE Photonics Technology Letters, 1999, pp. 194-196, 3 pages, Doc 0380.
  • Doyama—“Class E Power Amplifier for Wireless Transceivers”, University of Toronto, 1999, pp. 1-59, 59 pages, Doc 0382.
  • Flandre—“Fully Depleted SOI CMOS Technology for Low Voltage Low Power Mixed Digital/Analog/Microwave Circuits”, Analog Integrated Circuits and Signal Processing, 1999, pp. 213-228, 16 pages, Doc 0383.
  • Gil—“A High Speed and Low Power SOI Inverter Using Active Body-Bias”, Solid-State Electronics, vol. 43, 1999, pp. 791-799, 9 pages, Doc 0384.
  • Harris—“CMOS Analog Switches”, 1999, pp. 1-9, 9 pages, Doc 0385.
  • Harris Corporation—HI-5042 thru HI-5051 Datasheet, 1999, 9 pages, Doc 0386.
  • Hess—“Transformerless Capacitive Coupling of Gate Signals Operation of Power MOS Devices”, IEEE 1999, pp. 673-675, 3 pages, Doc 0387.
  • Hu—“A Unified Gate Oxide Reliability Model”, IEEE 37th Annual International Reliability Physic Symposium, 1999, pp. 47-51, 5 pages, Doc 0388.
  • Intersil—“Radiation Hardened CMOS Dual DPST Analog Switch”, Intersil 1999, pp. 1-2, 2 pages, Doc 0391.
  • Lee—“CMOS RF: (Still) No Longer an Oxymoron (Invited)”, IEEE Radio Frequency Integrated Circuits Symposium, 1999, pp. 3-6, 4 pages, Doc 0392.
  • Lindert—“Dynamic Threshold Pass-Transistor Logic for Improved Delay at Lower Power Supply Voltages”, IEEE Journal of Solid-State Circuits, vol. 34, No. 1, Jan. 1999, pp. 85-89, 5 pages, Doc 0394.
  • Madihan—“CMOS RF Ics for 900MHz—2.4GHz Band Wireless Communications Networks”, IEEE Radio Frequency Integrated Circuits Symposium, 1999, pp. 13-16, 4 pages, Doc 0395.
  • Masuda—“RF Current Evaluation of Ics by MP-10L”, NEC Research and Development, vol. 40-41, 1999, pp. 253-258, 7 pages, Doc 0400.
  • Miller—“Modern Electronic Communications”, Prentice-Hall 1999, 414 pages, Doc 0401 (A-E).
  • Nagayama—“Low Insertion Los DP3T MMIC Switch for Dual Band Cellular Phones”, IEEE Journal of Solid State Circuits 1999, pp. 1051-1055, 5 pages, Doc 0402.
  • Newman—“Radiation Hardened Power Electronics”, Intersil Corporation, 1999, pp. 1-4, 4 pages, Doc 0403.
  • Pelella—“Analysis and Control of Hysteresis in PD/SOI CMOS”, University of Florida, Gainesville, FL, 1999, IEEE, p. 34.5.1-34.5.4, 4 pages, Doc 0404.
  • Reedy—“Single Chip Wireless Systems Using SOI”, IEEE International SOI Conference, 1999, pp. 8-11, 4 pages, Doc 0405.
  • Shahidi—“Partially Depleted SOI Technology for Digital Logic”, IEEE Intl Solid-State Circuits Conference, 1999, pp. 426-427, 2 pages, Doc 0408.
  • Smuk—“Multi-Throw Plastic MMIC Switches Up to 6GHz with Integrated Positive Control Logic”, IEEE 1999, pp. 259-262, 4 pages, Doc 0409.
  • Tseng—“Characterization of Floating Body and Body-Grounded Thin Film Silicon-on-Insulator MOSFETs for Analog Circuit Applications”, Ph.D Thesis, UCLA, 1999, 240 pages, Doc 0410.
  • Wambacq—“A Single Package Solution for Wireless Transceivers”, IEEE 1999, pp. 1-5, 5 pages, Doc 0411.
  • Wei—“Large-Signal Model of Triple-Gate MESFET/PHEMT for Switch Applications”, Alpha Industries, Inc., 1999 IEEE, pp. 745-748, 4 pages, Doc 0412.
  • McRory—“Transformer Coupled Stacked FET Power Amplifier”, IEEE Journal of Solid State Circuits, vol. 34, No. 2, Feb. 1999, pp. 157-161, 5 pages, Doc 0413.
  • Pelloie—“WP 25.2: SOI Technology Performance and Modeling”, 1999 IEEE Intl. Solid-State Circuits Conference, Feb. 1999, 9 pages, Doc 0414.
  • Shoucair—“Modeling, Decoupling and Suppression of MOSFET Distortion Components”, IEEE Proceeding Circuit Devices Systems, vol. 146, No. 1, Feb. 1999, 7 pages, Doc 0415.
  • Takamiya—“High-Performance Accumulated Black-Interface Dynamic Threshold SOI MOSFET (AB-DTMOS) with Large Body Effect at Low Supply Voltage”, Japanese Journal of Applied Physics, vol. 38 (1999), Part 1, No. 4B, Apr. 1999, pp. 2483-2486, 4 pages, Doc 0416.
  • Ernst—“Detailed Analysis of Short-Channel SOI DT-MOSFET”, Laboratoire de Physique des Composants a Semiconducteurs, Enserg, France, Sep. 1999, pp. 380-383, 4 pages, Doc 0421.
  • Hsu—“Comparison of Conventional and Thermally-Stable Cascose (TSC) A;GaAs/GaAs HBTs for Microwave Power Applications”, Journal of Solid-State Electronics, V. 43, Sep. 1999, 2 pages, Doc 0422.
  • Ferlet-Cavrois—“High Frequency Characterization of SOI Dynamic Threshold Voltage MOS (DTMOS) Transistors”, 1999 IEEE International SOI Conference, Oct. 1999, pp. 24-25, 2 pages, Doc 0423.
  • Kuang—“A Dynamic Body Discharge Technique for SOI Circuit Applications”, IEEE International SOI Conference, Oct. 1999, pp. 77-78, 2 pages, Doc 0424.
  • Adan—“Linearity and Low Noise Performance of SOI MOSFETs for RF Applications”, IEEE International SOI Conference, 2000, pp. 30-31, 2 pages, Doc 0426.
  • Bernstein—“SOI Circuit Design Concepts”, Springer Science + Business Media 2000, 239 pages, Doc 0427 (A-B).
  • Bolam—“Reliability Issues for Silicon-on-Insulator”, IBM Microelectronics Division, IEEE 2000, p. 6.4.1-6.4.4, 4 pages, Doc 0428.
  • Bullock—“Transceiver and System Design for Digital Communication”, Noble 2000, 142 pages, Doc 0431 (A-B).
  • Caverly—“High Power Gallium Nitride Devices for Microwave and RF Control Applications”, 2000, pp. 1-33, 35 pages, Doc 0432.
  • Caverly—“On-State Distortion in High Electron Mobility Transistor Microwave and RF Switch Control Circuits”, IEEE Transactions on Microwave Theory and Techniques, 2000, pp. 98-103, 6 pages. Doc 0433.
  • Caverly—“SPICE Modeling of Microwave and RF Control Diodes”, IEEE 2000, pp. 28-31, 4 pages, Doc 0434.
  • Cristoloveanu—“State-of-the-art and Future of Silicon on Insulator (SOI) Technologies, Materials and Devices”, Microelectronics Reliability 40 (2000), pp. 771-777, 7 pages, Doc 0435.
  • Giugni—“A Novel Multi-Port Microwave/Millimeter-Wave Switching Circuit”, Microwave Conference, 2000, 4 pages, Doc 0436.
  • Hittite Microwave—“Positive Bias GaAs Multi-Throw Switches with Integrated TTL Decoders”, Hittite Microwave, 2000, 5 pages, Doc 0438.
  • Hittite Microwave—“Wireless Symposium 2000 is Stage for New Product Introductions”, Hittite Microwave 2000, pp. 1-8, 8 pages, Doc 0439.
  • Huang—“A 900-MHz T/R Switch with a 0.8-dB Insertion Loss Implemented in a 0.5- μm CMOS Process”, IEEE Custom Integrated Circuits Conference, 2000, pp. 341-344, 4 pages, Doc 0440.
  • Kumar—“A Simple High Performance Complementary TFSOI BiCMOS Technology with Excellent Cross-Talk Isolation” IEEE International SOI Conference 2000, pp. 142-143, 2 pages, Doc 0444.
  • Lee—“Harmonic Distortion Due to Narrow Width Effects in Deep Submicron SOI-CMOS Device for Analog RF Applications”, 2002 IEEE International SOI Conference, Oct. 2002, pp. 83-85, 3 pages, Doc 0445.
  • Montoriol—“3.6V and 4.8V GSM/DCS1800 Dual Band PA Application with DECT Capability Using Standard Motorola RFICs”, 2000, p. 1-20, 20 pages, Doc 0446.
  • Silicon Wave—“Silicon Wave SiW1502 Radio Modem IC”, Silicon Wave, 2000, pp. 1-21, 21 pages, Doc 0447.
  • Street—“R.F. Switch Design”, The Institution of Electrical Engineers, 2000, pp. 4/1-4/7, 7 pages, Doc 0448.
  • Weigand—“An ASIC Driver for GaAs FET Control Components”, Technical Feature, Applied Microwave & Wireless, 2000, pp. 42-48, 4 pages, Doc 0449.
  • Weisman—“The Essential Guide to RF and Wireless”, Prentice-Hall 2000, 133 pages, Doc 0450 (A-B).
  • Hiramoto—“Low Power and Low Voltage MOSFETs with Variable Threshold Voltage Controlled by Back-Bias”, IEICE Trans. Electron, vol. E83-C, No. 2, Feb. 2000, pp. 161-169, 9 pages, Doc 0437.
  • Lascari—“Accurate Phase Noise Prediction in PLL Synthesizers”, Applied Microwave & Wireless, published May 2000, pp. 90-96, 4 pages, Doc 0452.
  • Lauterbach—“Charge Sharing Concept and New Clocking Scheme for Power Efficiency and Electromagnetic Emission Improvement of Boosted Charge Pumps”, IEEE Journal of Solid-State Circuits, vol. 35, No. 5, May 2000, pp. 719-723, 5 pages, Doc 0453.
  • Yang—“Sub-100nm Vertical MOSFETs with Si1-x-y GexCy Source/Drains”, a dissertation presented to the faculty of Princeton University, Jun. 2000, 272 pages, Doc 0455.
  • Wang—“A Novel Low-Voltage Silicon-on-Insulator (SOI) CMOS Complementary Pass-Transistor Logic (CPL) Circuit Using Asymmetrical Dynamic Threshold Pass-Transistor (ADTPT) Technique”, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems, Aug. 2000, pp. 694-697, 4 pages, Doc 0458.
  • Eastman—“High Power, Broadband, Linear, Solid State Amplifier”, 16th Quarterly Rep. under MURI Contract No. N00014-96-1-1223 for period Jun. 1, 2000 to Aug. 31, 2000, Sep. 2000, 8 pages, Doc 0459.
  • Rauly—“Investigation of Single and Double Gate SOI MOSFETs in Accumulation Mode for Enhanced Performances and Reduced Technological Drawbacks”, Proceedings 30th European Solid-State Device Research Conference, Sep. 2000, pp. 540-543, 4 pages, Doc 0460.
  • Casu—“Comparative Analysis of PD-SOI Active Body-Biasing Circuits”, IEEE Intl SOI Conference, Oct. 2000, pp. 94-95, 2 pages, Doc 0462.
  • Kuang—“A High-Performance Body-Charge-Modulated SOI Sense Amplifier”, IEEE International SOI Conference, Oct. 2000, pp. 100-101, 2 pages, Doc 0463.
  • Saccamango—“An SOI Floating Body Charge Monitor Technique”, IEEE International SOI Conference, Oct. 2000, pp. 88-89, 2 pages, Doc 0464.
  • Terauchi—“A Novel 4T SRAM Cell Using “Self-Body-Biased” SOI MOSFET Structure Operating as 0/5 Volt”, IEEE International SOI Conference, Oct. 2000, pp. 108-109, 2 pages, Doc 0465.
  • Yeh—“High Performance 0.1 μm Partially Depleted SOI CMOSFET”, 2000 IEEE International SOI Conference, Oct. 2000, pp. 68-69, 2 pages, Doc 0466.
  • Assaderaghi—“DTMOS: Its Derivatives and Variations, and Their Potential Applications”, The 12th Intl Conference on Microelectronics, Nov. 2000, pp. 9-10, 2 pages, Doc 0467.
  • Mashiko—“Ultra-Low Power Operation of Partially-Depleted SOI/CMOS Integrated Circuits”, IEICE Transactions on Electronic Voltage, No. 11, Nov. 2000, pp. 1697-1704, 8 pages, Doc 0468.
  • Nork—“New Charge Pumps Offer Low Input and Output Noise”, Linear Technology Corporation, Design Notes, Design Note 243, published Nov. 2000, pp. 1-2, 2 pages, Doc 0469.
  • Rozeau—“SOI Technologies Overview for Low Power Low Voltage Radio Frequency Applications”, Analog Integrated Circuits and Signal Processing, Nov. 2000, pp. 93-114, 22 pages, Doc 0470.
  • Ajjkuttira—“A Fully Integrated CMOS RFIC for Bluetooth Applications”, IEEE International Solid-State Circuits Conference, 2001, pp. 1-3, 3 pages, Doc 0473.
  • Caverly—“Gallium Nitride-Based Microwave and RF Control Devices”, 2001, 17 pages, Doc 0475.
  • Chang—“Investigations of Bulk Dynamic Threshold-Voltage MOSFET with 65 GHz “Normal-Mode” Ft and 220GHz “Over-Drive Mode” Ft for RF Applications”, Institute of Electronics, National Chiao-Tung University, Taiwan, 2001 Symposium on VLSI Technology Digest of Technical Papers, pp. 89-90, 2 pages, Doc 0476.
  • Couch—“Digital and Analog Communication Systems”, 2001, Prentice-Hall, 398 pages, Doc 0477 (A-E).
  • Darabi—“A 2.4GHz CMOS Transceiver for Bluetooth”, IEEE, 2001, pp. 89-92, 3 pages, Doc 0479.
  • Drake—“Dynamic-Threshold Logic for Low Power VLSI Design”, www.research.ibm.com/acas, 2001, 5 pages, Doc 0480.
  • Drozdovsky—“Large Signal Modeling of Microwave Gallium Nitride Based HFETs”, Asia Pacific Microwave Conference, 2001, pp. 248-251, 4 pages, Doc 0481.
  • Dunga—“Analysis of Floating Body Effects in Thin Film SOI MOSFETs Using the GIDL Current Technique”, Proceedings of the 8th International Symposium on Physical and Failure Analysis of Integrated Circuits, 2001, pp. 254-257, 4 pages, Doc 0482.
  • Fiorenza—“RF Power Performance of LDMOSFETs on SOI: An Experimental Comparison with Bulk Si MOSFETs”, IEEE Radio Frequency Integrated Circuits Symposium, 2001, pp. 43-46, 4 pages, Doc 0483.
  • Fukuda—“SOI CMOS Device Technology”, OKI Technical Review, Special Edition on 21st Century Solutions, 2001, pp. 54-57, 4 pages, Doc 0484.
  • Gould—“NMOS SPDT Switch MMIC with >48dB Isolation and 30dBm IIP3 for Applications within GSM and UMTS Bands”, Bell Labs, 2001, pp. 1-4, 4 pages, Doc 0486.
  • Gu—“A High Performance GaAs SP3T Switch for Digital Cellular Systems”, IEEE MTT-S Digest, 2001, pp. 241-244, 4 pages, Doc 0487.
  • Hittite Microwave—Floating Ground SPNT MMIC Switch Driver Techniques, 2001, 4 pages, Doc 0488.
  • Honeywell—“CMOS SOI Technology”, 2001, pp. 1-7, 7 pages, Doc 0489.
  • Honeywell—“Honeywell SPDT Reflective RF Switch”, Honeywell Advance Information, 2001, pp. 1-3, 3 pages, Doc 0490.
  • Huang—“A 2.4-GHz Single-Pole Double Throw T/R Switch with 0.8-dB Insertion Loss Implemented in a CMOS Process (slides)”, Silicon Microwave Integrated Circuits and Systems Research, 2001, pp. 1-16, 16 pages, Doc 0492.
  • Huang—“A 2.4-GHz Single-Pole Double Throw T/R Switch with 0.8-dB Insertion Loss Implemented in a CMOS Process”, Silicon Microwave Integrated Circuits and Systems Research, 2001, pp. 1-4, 4 pages, Doc 0493.
  • Huang—“Schottky Clamped MOS Transistors for Wireless CMOS Radio Frequency Switch Application”, University of Florida, 2001, pp. 1-167, 167 pages, Doc 0494.
  • Itoh—“RF Technologies for Low Power Wireless Communications”, Wiley, 2001, 244 pages, Doc 0495 (A-C).
  • Karandikar—“Technology Mapping for SOI Domino Logic Incorporating Solutions for the Parasitic Bipolar Effect”, ACM 2001, pp. 1-14, 14 pages, Doc 0496.
  • Koh—“Low-Voltage SOI CMOS VLSI Devices and Circuits”, Wiley Interscience, XP001090589, New York, 2001, 215 pages, Doc 0497 (A-C).
  • Koo—“RF Switches”, Univ. Toronto, Elec. and Computer Engineering Dept. 2001, 12 pages, Doc 0498.
  • Kuo—“Low Voltage SOI CMOS VLSI Devices and Circuits”, Wiley, 2001, pp. 57-60, 349-354, 215 pages, Doc 0499 (A-C).
  • Leenaerts—“Circuits Design for RF Transceivers”, Kluwer Academic, 2001, 179 pages, Doc 0501 (A-B).
  • Marenk—“Layout Optimization of Cascode RF SOI Transistors”, IEEE International SOI Conference, 2001, pp. 105-106, 2 pages, Doc 0502.
  • Misra—“Radio Frequency and Microwave Communication Circuits”, Wiley 2001, 297 pages, Doc 0503 (A-C).
  • Morreale—The CRC Handbook of Modern Telecommunication:, CRC Press 2001, 228 pages, Doc 0504 (A-F).
  • Nakatani—“A Wide Dynamic Range Switched-LNA in SiGe BICMOS”, IEEE Radio Frequency Integrated Circuits Symposium, 2001, pp. 223-226, 4 pages, Doc 0505.
  • Narendra—“Scaling of Stack Effects and its Application for Leakage Reduction”, ISLPED 2001, 2001, pp. 195-200, 6 pages, Doc 0506.
  • Pozar—“Microwave and RF Design of Wireless Systems”, Wiley 2001, 192 pages, Doc 0507 (A-B).
  • Reedy—“UTSi CMOS: A Complete RF SOI Solution”, Peregrine Semiconductor Corporation, 2001, 6 pages, Doc 0509.
  • Salva (or Savla)—“Design and Simulation of a Low Power Bluetooth Transceiver”, The University of Wisconsin, 2001, pp. 1-90, 90 pages, Doc 0510.
  • Sayre—“Complete Wireless Design”, McGraw-Hill 2001, 284 pages, Doc 0511 (A-D).
  • Shimura—“High Isolation V-Band SPDT Switch MMIC for High Power Use”, IEEE MTT-S International Microwave Symposium Digest, 2001, pp. 245-248, 4 pages, Doc 0512.
  • Sudhama—“Compact Modeling and Circuit Impact of Novel Frequency Dependence of Capacitance in RF MOSFETs”, Nano Science and Technology Institute, Technical Proceedings of the 2001 Intl Conference of Modeling and Simulation of Microsystems, 4 pages, Doc 0513.
  • Wetzel—“Silicon-on-Sapphire Technology for Microwave Power Application”, University of California, San Diego, 2001, 229 pages, Doc 0514 (A-B).
  • Cheng—“Gate-Channel Capacitance Characteristics in the Fully-Depleted SOI MOSFET”, IEEE Transactions on Electron Devices, vol. 48, No. 2, Feb. 2001, pp. 388-391, 4 pages. Doc 0515.
  • Gritsch—“Influence of Generation/Recombination Effects in Simulations of Partially Depleted SOI MOSFETs”, Solid-State Electronics 45 (2001), accepted Feb. 14, 2001, pp. 621-627, 7 pages, Doc 0516.
  • Huang—“A 0.5- μm CMOS T/R Switch for 900-MHz Wireless Applications”, IEEE Journal of Solid-State Circuits, vol. 36, No. 3, Mar. 2001, pp. 486-492, 8 pages, Doc 0517.
  • Maxin Integrated Products—“Charge Pumps Shine in Portable Designs”, published Mar. 15, 2001, pp. 1-16, 16 pages, Doc 0518.
  • Adriaensen—“Analysis and Potential of the Bipolar- and Hybrid-Mode Thin-Film SOI MOSFETs for High-Temperature Applications”, Laboratoire de Macroelectronique, Universite Catholique de Louvain, May 2001, 5 pages, Doc 0519.
  • Chung—“SOI MOSFET Structure with a Junction Type Body Contact for Suppression of Pass Gate Leakage”, IEEE Transactions on Electron Devices, vol. 48, No. 7, Jul. 2001, pp. 1360-1365, 6 pages, Doc 0520.
  • Burgener—“CMOS SOS Switches Offer Useful Features, High Integration”, CMOS SOS Switches, Microwaves & RF, Aug. 2001, pp. 107-118, 7 pages, Doc 0523.
  • Casu—“Synthesis of Low-Leakage PD-SOI Circuits with Body Biasing”, Intl Symposium on Low Power Electronics and Design, pp. 287-290, Aug. 6-7, 2001, 4 pages, Doc 0524.
  • Makioka—“Super Self Aligned GaAs RF Switch IC with 0.25dB Extremely Low Insertion Loss for Mobile Communication Systems”, IEEE Transactions on Electron Devices, vol. 48, No. 8, August 2001, pp. 1510-1514, 2 pages, Doc 0525.
  • Dehan—“Alternative Architectures of SOI MOSFET for Improving DC and Microwave Characteristics”, Microwave Laboratory, Universite Catholique de Louvain, Sep. 2001, 4 pages, Doc 0529.
  • Texas Instruments—“TPS60204, TPS60205, Regulated 3.3-V, 100-mA Low-Ripple Charge Pump Low Power DC/DC Converters”, published Feb. 2001, rev. Sep. 2001, pp. 1-18, 18 pages, Doc 0530.
  • Casu—“High Performance Digital CMOS Circuits in PD-SOI Technology: Modeling and Design”, Tesi di Dottorato di Recerca, Gennaio 2002, Politecnico di Torina, Corso di Dottorato di Ricerca in Ingegneria Elettronica e delle Communicazioni, 200 pages, Doc 0532.
  • De Boer—“Highly Integrated X-Band Multi-Function MMIC with Integrated LNA and Driver Amplifier”, TNO Physics and Electronics Laboratory, 2002, pp. 1-4, 4 pages, Doc 0534.
  • Hanzo—“Adaptive Wireless Transceivers”, Wiley, 2002, 379 pages, Doc 0535 (A-E).
  • Honeywell—“CMOS SOI RF Switch Family”, 2002, pp. 1-4, 4 pages, Doc 0536.
  • Honeywell—“Honeywell SPDT Absorptive RF Switch”, Honeywell, 2002, pp. 1-6, 6 pages, Doc 0537.
  • Jeon—“A New “Active” Predistorter with High Gain Using Cascose-FET Structures”, IEEE Radio Frequency Integrated Circuits Symposium, 2002, pp. 253-256, 4 pages, Doc 0538.
  • Koudymov—“Low Loss High Power RF Switching Using Multifinger AIGaN/GaN MOSHFETs”, University of South Carolina Scholar Commons, 2002, pp. 449-451, 5 pages, Doc 0539.
  • Lee—“Analysis of Body Bias Effect with PD-SOI for Analog and RF Application”, Solid State Electron, vol. 46, 2002, pp. 1169-1176, 8 pages, Doc 0540.
  • Marshall—“SOI Design: Analog, Memory, and Digital Techniques”, Kluwer Academic Publishers, 2002, 414 pages, Doc 0543.
  • Numata—“A +2.4/0 V Controlled High Power GaAs SPDT Antenna Switch IC for GSM Application”, IEEE Radio Frequency Integrated Circuits Symposium, 2002, pp. 141-144, 4 pages, Doc 0544.
  • O—“CMOS Components for 802.11b Wireless LAN Applications”, IEEE Radio Frequency Integrated Circuits Symposium, 2002, pp. 103-106, 4 pages, Doc 0545.
  • Ohnakado—“A 1.4dB Insertion Loss, 5GHz Transmit/Receive Switch Utilizing Novel Depletion-Layer Extended Transistors (DETs) in 0.18 μm CMOS Process”, Symposium on VLSI Circuits Digest of Technical Papers, 2002, pp. 162-163, 2 pages, Doc 0546.
  • Peczalski—“RF/Analog/Digital SOI Technology GPS Receivers and Other Systems on a Chip”, IEEE Aerospace Conference Proceedings, 2002, pp. 2013-2017, 5 pages, Doc 0547.
  • Shafi—“Wireless Communications in the 21st Century”, Wiley, 2002, 230 pages, Doc 0548 (A-C).
  • Tinella—“A 0.7DB Insertion Loss CMOS—SOI Antenna Switch with More than 50dB Isolation Over the 2.5 to 5GHz Band”, Proceeding of the 28th European Solid-State Circuits Conference, 2002, pp. 483-486, 4 pages, Doc 0549.
  • Van Der Pujie—“Telecommunication Circuit Design”, Wiley 2002, 225 pages, Doc 0550 (A-C).
  • Hameau—“Radio-Frequency Circuits in Integration Using CMOS SOI 0.25 μm Technology”, 2002 RF IC Design Workshop Europe, Mar. 2002, Grenoble, France, 6 pages, Doc 0551.
  • Harneau—“Radio-Frequency Circuit Integration Using CMOS SOI 0.25 μm Technology”, 2002 RF IC Design Workshop Europe, Mar. 19-22, 2002, Grenoble, France, 6 pages, Doc 0552.
  • Raab—“Power Amplifiers and Transmitters for RF and Microwave”, IEEE Transactions and Microwave Theory and Techniques, vol. 50, No. 3, pp. 814-826, Mar. 2002, 13 pages, Doc 0553.
  • Sivaram—“Silicon Film Thickness Considerations in SOI-DTMOS”, IEEE Device Letters, vol. 23, No. 5, May 2002, pp. 276-278, 3 pages, Doc 0556.
  • Han—“A Simple and Accurate Method for Extracting Substrate Resistance of RF MOSFETs”, IEEE Electron Device Letters, vol. 23, No. 7, Jul. 2002, pp. 434-436, 3 pages, Doc 0557.
  • Das—“A Novel Sub-1 V High Speed Circuit Design Technique in Partially Depleted SOI-CMOS Technology with Ultra Low Leakage Power”, Proceedings of the 28th European Solid-State Circuits Conference, Sep. 2002, pp. 24-26, 22 pages, Doc 0559.
  • Das—“A Novel Sub-1 V High Speed Circuit Design Technique in Partially Depleted SOI-CMOS Technology with Ultra Low Leakage Power”, Proceedings of the 28th European Solid-State Circuits Conference, Sep. 2002, pp. 267-270, 4 pages, Doc 0560.
  • Bahl—“Lumped Elements for RF and Microwave Circuits”, Artech House, 2003. pp. 353-394, 58 pages, Doc 0563.
  • Das—“Ultra-Low-Leakage Power Strategies for Sub-1 V Vlsi: Novel Circuit Styles and Design Methodologies for Partially Depleted Silicon-on-Insulator (PD-SOI) CMOS Technology”, Proceedings of the 16th Intl. Conference on VLSI Design, 2003, 6 pages, Doc 0564.
  • Ezzeddine—“The High Voltage/High Power FET (HiVP1)”, 2003 IEEE Radio Frequency Integrated Circuits Symposium, 4 pages, Doc 0566.
  • Gu—“A 2.3V PHEMT Power SP3T Antenna Switch IC for GSM Handsets”, IEEE GaAs Digest, 2003, pp. 48-51, 4 pages, Doc 0561.
  • Gu—“A High Power DPDT MMIC Switch for Broadband Wireless Applications”, IEEE MTT-S Digest, 2003, pp. 173-176, 4 pages, Doc 0568.
  • Hirano—“Impact of Actively Body Bias Controlled (ABC) SOI SRAM by Using Direct Body Contact Technology for Low Voltage Application,” IEEE, 2003, p. 2.4.1-2.4.4, 4 pages, Doc 0569.
  • Huang—“Hot Carrier Degradation Behavior in SOI Dynamic-Threshold-Voltage nMOSFETs (n-DTMOSFET) Measured by Gated-Diode Configuration”, Microelectronics Reliability 43 (2003) pp. 707-711, 5 pages, Doc 0572.
  • Lederer—“Frequency Degradation of SOI MOS Device Output Conductance”, Microwave Laboratory of Universite Catholique de Louvain, Belgium, IEEE 2003, pp. 76-77, 2 pages, Doc 0573.
  • Minoli—“Telecommunications Technology Handbook”, Artech House 2003, 408 pages, Doc 0576 (A-F).
  • NEC—“RF & Microwave Device Overview 2003—Silicon and GaAs Semiconductors”, NEC 2003, 73 pages, Doc 0577.
  • Numata—“A High Power Handling GSM Switch IC with New Adaptive Control Voltage Generator Circuit Scheme”, IEEE Radio Frequency Integrates Circuits Symposium, 2003, pp. 233-236, 4 pages, Doc 0578.
  • Pylarinos—“Charge Pumps: An Overview”, Proceedings of the IEEE International Symposium on Circuits and Systems, 2003, pp. 1-7, 7 pages, Doc 0579.
  • Ueda—“A 5GHz-Band On-Chip Matching CMOS MMIC, Front-End”, 11th GAAS Symposium—Munich 2003, pp. 101-104, 4 pages, Doc 0580.
  • Ytterdal—“MOSFET Device Physics and Operation”, Device Modeling for Analog and RF CMOS Circuit Design, 2003, John Wiley & Sons, Ltd., 46 pages, Doc 0581.
  • Su—“On the Body-Source Built-In Potential Lowering of SOI MOSFETs”, IEEE Electron Device Letters, vol. 24, No. 2, Feb. 2003, pp. 90-92, 3 pages, Doc 0582.
  • Cho—“Comparative Assessment of Adaptive Body-Bias SOI Pass-Transistor Logic”, Fourth Intl Symposium on Quality Electronic Design, Mar. 2003, pp. 55-60, 6 pages, Doc 0583.
  • Kim—“High-Performance V-Band Cascode HEMT Mixer and Downconverter Module”, IEEE Transactions on Microwave Theory and Techniques, vol. 51, No. 3, p. 805-810, Mar. 2003, 6 pages, Doc 0584.
  • Terauchi—“A Self-Body-Bias” SOI MOSFET: A Novel Body-Voltage-Controlled SOI MOSFET for Low Voltage Applications, The Japan Society of Applied Physics, vol. 42 (2003), pp. 2014-2019, Part 1, No. 4B, April 2003, 6 pages, Doc 0587.
  • Dehan—“Partially Depleted SOI Dynamic Threshold MOSFET for Low-Voltage and Microwave Applications” 203rd Meeting of the Electrochemical Society—11th Int. Symp. on SOI technology and devices, Paris, France , 2003 1 page, Doc 1080.
  • Tinella—“A High Performance CMOS-SOI Antenna Switch for the 2.5-5-GHz Band”, IEEE Journal of Solid-State Circuits, vol. 38, No. 7, Jul. 2003, pp. 1270-1283, 5 pages, Doc 0588.
  • Drake—“Analysis of the Impact of Gate-Body Signal Phase on DTMOS Inverters in 0.13 μm PD-SOI”, Department of EECS, University of Michigan, Ann Arbor, MI, Sep./Oct. 2003, 16 pages, Doc 0591.
  • Drake—“Analysis of the Impact of Gate-Body Signal Phase on DTMOS Inverters in 0.13 μm PD-SOI”, Department of EECS, University of Michigan, Ann Arbor, MI, Sep./Oct. 2003, 4 pages, Doc 0592.
  • Lederer—“Frequency Degradation of SOI MOS Device Output Conductance”, Microwave Laboratory of Universite Catholique de Louvain, Belgium, Sep./Oct. 2003, 1 page, Doc 0593.
  • Bernstein—“Design and CAD Challenges in sub-90nm CMOS Technologies”, IBL Thomas J. Watson Research Center, NY, Nov. 11-13, 2003, pp. 129-136, 8 pages, Doc 0595.
  • Drake—“Evaluation of Dynamic-Threshold Logic for Low-Power VLSI Design in 0.13 μm PD-SOI”, University of Michigan, Ann Arbor, MI, Dec. 2003, 29 pages, Doc 0596.
  • Drake—Evaluation of Dynamic-Threshold Logic for Low-Power VLSI Design in 0.13 μm PD-SOI:, IFIP VLSI-SoC 2003, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Darmstadt, Germany, Dec. 1-3, 2003, 6 pages, Doc 0597.
  • Bonkowski—“Integration of Triple Band GSM Antenna Switch Module Using SOI CMOS”, IEEE Radio Frequency Integrated Circuits Symposium, 2004, pp. 511-514, 4 pages, Doc 0598.
  • Gu—“Low Insertion Loss and High Linearity PHEMT SPDT and SP3T Switch Ics for WLAN 802.11a/b/g Application”, 2004 IEEE Radio Frequency Integrated Circuits Symposium, 2004, pp. 505-508, 4 pages, Doc 0600.
  • Kelly—“Integrated Ultra CMIS Designs in GSM Front End”, Wireless Design Magazine, 2004, pp. 18-22, 4 pages, Doc 0601.
  • Wang—“A Robust Large Signal Non-Quasi-Static MOSFET Model for Circuit Simulation”, IEEE 2004 Custom Integrated Circuits Conference, pp. 2-1-1-2-1-4, 4 pages, Doc 0603.
  • Chao—“High-Voltage and High-Temperature Applications of DTMOS with Reverse Schottky Barrier on Substrate Contacts”, vol. 25, No. 2, Feb. 2004, pp. 86-88, 3 pages, Doc 0605.
  • Bawedin—“Unusual Floating Body Effect in Fully Depleted MOSFETs”, IMEP, Enserg, France and Microelectronics Laboratory, Universite Catholique de Louvain, Belgium, Oct. 2004, 22 pages, Doc 0614.
  • Damiano—“Integrated Dynamic Body Contact for H Gate PD SOI MOSFETs for High Performance/Low Power”, IEEE SOI Conference, Oct. 2004, pp. 115-116, 2 pages, Doc 0615.
  • Goo—“History-Effect-Conscious SPICE Model Extraction for PD-SOI Technology”, 2004 IEEE International SOI Conference, Oct. 2004, pp. 156-158, 3 pages, Doc 0616.
  • Kuang—A Floating-Body Charge Monitoring Technique for Partially Depleted SOI Technology:, International Journal of Electronics, vol. 91, No. 11, 2004, pp. 625-637, 13 pages, Doc 0618.
  • Wiatr—“Impact of Floating Silicon Film on Small-Signal Parameters of Fully Depleted SOI-MOSFETs Biased into Accumulation”, Solid-State Electronics 49 (2005), revised Nov. 9, 2004, pp. 779-789, 11 pages, Doc 0619.
  • Perraud—“A Direct-Conversion CMOS Transceiver for the 802.11a/b/g WLAN Standard Utilizing a Vartesian Feedback Transmitter”, IEEE Journal of Solid-State Circuits, vol. 39, No. 12, Dec. 2004, pp. 2226-2238, 13 pages, Doc 0621.
  • Dehan—“Dynamic Threshold Voltage MOS in Partially Depleted SOI Technology: A Wide Frequency Band Analysis”, Solid-State Electronics 49 (2005), pp. 67-72, 6 pages, Doc 0622.
  • Darabi—“A Dual-Mode 802.11b/Bluetooth Radio in 0.35-m CMOS”, IEEE Journal of Solid-State Circuits, vol. 40, No. 3, Mar. 2005, pp. 698-706, 10 pages, Doc 0624.
  • Lee—“Effects of Gate Structures on the RF Performance in PD SOI MESFETs”, IEEE Microwave and Wireless Components Letters, vol. 15, No. 4, Apr. 2005, pp. 223-225, 3 pages, Doc 0625.
  • Sjoblom—“An Adaptive Impedance Tuning CMOS Circuit for ISM 2.4-GHz Band”, IEEE Transactions on Circuits and Systems—I: Regular Papers, vol. 52, No. 6, Jun. 2005, pp. 1115-1124, 10 pages, Doc 0627.
  • Su—“On the Prediction of Geometry-Dependent Floating-Body Effect in SOI MOSFETs”, IEEE Transactions on Electron Devices, vol. 52, No. 7, Jul. 2005, pp. 1662-1664, 3 pages, Doc 0630.
  • Defree—“Peregrine Trumpets HaRP”, https://www.edn.com/electronics-news/4325802/Peregrine-Trumpets-HaRP, Oct. 7, 2005, 2 pages, Doc 7000.
  • Bernstein—“SOI Circuit Design Concepts”, IBM Microelectronics 2007, 239 pages, Doc 0654.
  • Iijima—“Boosted Voltage Scheme with Active Body-Biasing Control on PD-SOI for Ultra Low Voltage Operation”, IEICE Transactions on Electronics, Institute of Electronics, Tokyo, JP, vol. E90C, No. 4, Apr. 1, 2007, pp. 666-674, 9 pages, Doc 0655.
  • Willert-Porada—“Advances in Microwave and Radio Frequency Processing”, 8th International Conference on Microwave and High-Frequency Heating, Oct. 2009, 408 pages, Doc 0714 (A-F).
  • Nguyen, Niki Hoang, Office Action received from the USPTO dated Jun. 1, 2016 for U.S. Appl. No. 14/845,154, 6 pgs.
  • Nguyen, Niki Hoang, Final Office Action received from the USPTO dated Mar. 8, 2017 for U.S. Appl. No. 14/845,154, 28 pgs.
  • Nguyen, Niki Hoang, Notice of Allowance received from the USPTO dated Apr. 10, 2017 for U.S. Appl. No. 14/845,154, 8 pgs.
  • Nguyen, Niki Hoang, Notice of Allowance received from the USPTO dated Aug. 9, 2017 for U.S. Appl. No. 14/845,154, 12 pgs.
  • Brindle, et al., Response filed in the USPTO dated Oct. 28, 2016 for U.S. Appl. No. 14/845,154, 9 pgs.
  • Brindle, et al., Response filed in the USPTO dated Mar. 24, 2017 for U.S. Appl. No. 14/845,154, 3 pgs.
  • Burgener, et al., Preliminary Amendment filed in the USPTO dated Nov. 17, 2017 for U.S. Appl. No. 15/656,953, 7 pgs.
  • Itoh, Tadashige , et al., English translation of Office Action received from the JPO dated Feb. 27, 2018 for appln. No. 2016-175339, 4 pgs.
  • Tieu, Binh Kien, Office Action received from the USPTO dated Mar. 7, 2018 for U.S. Appl. No. 15/656,953, 14 pgs.
  • Nguyen, Niki Hoang, Office Action received from the USPTO dated Mar. 9, 2018 for U.S. Appl. No. 15/693,182, 10 pgs.
  • Tieu, Binh Kien, Final Office Action received from the USPTO dated May 16, 2018 for U.S. Appl. No. 15/656,953, 12 pgs.
  • Tat, Binh C., Office Action received from the USPTO dated Jun. 4, 2018 for U.S. Appl. No. 15/419,898, 39 pgs.
  • Nguyen, Niki Hoang, Notice of Allowance received from the USPTO dated Jun. 21, 2018 for U.S. Appl. No. 15/693,182, 22 pgs.
  • F. Hameau and O. Rozeau, “Radio-Frequency Circuits Integration Using CMOS SOI 0.25 μm Technology”, 2002 RF IC Design Workshop Europe, Mar. 19-22, 2002, Grenoble, France.
  • O. Rozeau et al., “SOI Technologies Overview for Low-Power Low-Voltage Radio-Frequency Applications,” Analog Integrated Circuits and Signal Processing, 25, pp. 93-114, Boston, MA, Kluwer Academic Publishers, Nov. 2000.
  • C. Tinella et al., “A High-Performance CMOS-SOI Antenna Switch for the 2.55-GHz Band,”IEEE Journal of Solid-State Circuits, vol. 38, No. 7, Jul. 2003.
  • H. Lee et al., “Analysis of body bias effect with PD-SOI for analog and RF applications,” Solid State Electron., vol. 46, pp. 1169-1176, 2002.
  • J.-H. Lee, et al., “Effect of Body Structure on Analog Performance of SOI NMOSFETs,” Proceedings, 1998 IEEE International SOI Conference, Oct. 5-8, 1998, pp. 61-62.
  • C. F. Edwards, et al., The Effect of Body Contact Series Resistance on SOI CMOS Amplifier Stages, IEEE Transactions on Electron Devices, vol. 44, No. 12, Dec. 1997 pp. 2290-2294.
  • S. Maeda, et al., Substrate-bias Effect and Source-drain Breakdown Characteristics in Body-tied Short-channel SOI MOSFET's, IEEE Transactions on Electron Devices, vol. 46, No. 1, Jan. 1999 pp. 151-158.
  • F. Assaderaghi, et al., “Dynamic Threshold-voltage MOSFET (DTMOS) for Ultra-low Voltage VLSI,” IEEE Transactions on Electron Devices, vol. 44, No. 3, Mar. 1997, pp. 414-422.
  • G. O. Workman and J. G. Fossum, “A Comparative Analysis of the Dynamic Behavior of BTG/SOI MOSFETs and Circuits with Distributed Body Resistance,” IEEE Transactions on Electron Devices, vol. 45, No. 10, Oct. 1998 pp. 2138-2145.
  • T.-S. Chao, et al. “High-voltage and High-temperature Applications of DTMOS with Reverse Schottky Barrier on Substrate Contacts,” IEEE Electron Device Letters, vol. 25, No. 2, Feb. 2004, pp. 86-88.
  • Wei, et al., “Measurement of Transient Effects in SOI DRAM/SRAM Access Transistors”, IEEE Electron Device Letters, vol. 17, No. 5, May 1996.
  • Sleight, et al., “Transient Measurements of SOI Body Contact Effectiveness”, IEEE Electron Device Letters, vol. 19, No. 12, Dec. 1998.
  • Chung, et al., “SOI MOSFET Structure with a Junction-Type Body Contact for Suppression of Pass Gate Leakage”, IEEE Transactions on Electron Devices, vol. 48, No. 7, Jul. 2001.
  • Lee, et al., “Effects of Gate Structures on the RF Performance in PD SOI MOSFETs”, IEEE Microwave and Wireless Components Letters, vol. 15, No. 4, Apr. 2005.
  • Hirano, et al., “Impact of Actively Body-bias Controlled (ABC) SOI SRAM by using Direct Body Contact Technology for Low-Voltage Application” IEEE, 2003, pp. 2.4.1-2.4.4.
  • Lee, et al., “Harmonic Distortion Due to Narrow Width Effects in Deep sub-micron SOI-CMOS Device for analog-RF Applications”, 2002 IEEE International SOI Conference, Oct. 2002.
  • Orndorff, et al., “CMOS/SOS/LSI Switching Regulator Control Device”, ISSCC 78, Feb. 17, 1978, IEEE International Solid-State Circuits Conference, pp. 234-235 and 282.
  • Kuo, et al., “Low-Voltage SOI CMOS VLSI Devices and Circuits”, 2001, Wiley Interscience, New York, XP001090589, pp. 57-60 and 349-354.
  • Tat, Binh C., International Search Report and Written Opinion received from USRO dated Jul. 3, 2008 for application no. PCT/US06/36240, 10 pgs.
  • Tat, Binh C., Office Action received from USPTO dated Sep. 15, 2008 for application No. 11/520,912, 18 pgs.
  • Shingleton, Michael B., Office Action dated Oct. 7, 2008 received from the USPTO for application No. 11/881,816, 4 pgs.
  • Hoffmann, Niels, Communication from the EPO dated Feb. 4, 2009 for appln No. 06786943.8, 7 pgs.
  • Stuber, Michael, et al., photocopy of an Amendment dated Mar. 16, 2009 filed in the USPTO for appln. No. 11/520,912, 21 pages.
  • Shingleton, Michael B., Communication received from USPTO dated Apr. 28, 2009 for application No. 11/881,816, 3 pgs.
  • Tat, Binh C., Office Action received from USPTO dated Jul. 8, 2009 for application No. 11/520,912, 6 pgs.
  • Dribinsky, et al, Response filed in USPTO dated Aug. 28, 2009 for appln. No. 11/881,816, 5 pgs.
  • Photocopy of a translation of an Office Action dated Jul. 31, 2009 for Chinese appln. No. 200680025128.7, 3 pages.
  • Stuber, Michael, et al., Photocopy of a Response that was filed in the USPTO for application No. 11/520,912, dated Sep. 8, 2009, 3 pgs.
  • Tat, Binh C., Office Action received from the USPTO dated Dec. 10, 2009 for appln. No. 11/520,912, 19 pages.
  • Shingleton, Michael B., Office Action received from the USPTO dated Jan. 19, 2010 for appln. No. 11/881,816, 16 pgs.
  • Brindle, Chris, et al., Translation of a Response filed in the Chinese Patent Office for appln No. 200680025128.7 dated Nov. 30, 2009, 3 pages.
  • Morena, Enrico, Supplementary European Search Report for appln. No. 06814836.0, dated Feb. 17, 2010, 8 pages.
  • Kuang, J.B., et al., “A Floating-Body Charge Monitoring Technique for Partially Depleted SOI Technology”, Int. J. Of Electronics, vol. 91, No. 11, Nov. 11, 2004, pp. 625-637.
  • Stuber, et al., Amendment filed in the USPTO for appln. No. 11/520,912, dated Jun. 10, 2010, 25 pages.
  • Sedra, Adel A., et al., “Microelectronic Circuits”, Fourth Edition, University of Toronto, Oxford University Press, 1982, 1987, 1991 and 1998, pp. 374-375.
  • Tat, Binh C., Notice of Allowance received from the USPTO for appln. No. 11/520,912, dated Sep. 16, 2010, 13 pages.
  • Brindle, et al., Response filed in the EPO for application No. 06 814 836.0-1235 dated Oct. 12, 2010, 24 pages.
  • Nguyen, Tram Hoang, Office Action received from the USPTO dated Sep. 19, 2008 for appln. No. 11/484,370, 7 pgs.
  • Brindle, et al., Response filed in the USPTO dated Jan. 20, 2009 for appln. No. 11/484,370, 5 pgs.
  • Nguyen, Tram Hoang, Office Action received from the USPTO dated Apr. 23, 2009 for appln. No. 11/484,370, 11 pgs.
  • Brindle, et al., Response filed in the USPTO dated Aug. 24, 2009 for appln. No. 11/484,370, 5 pgs.
  • Nguyen, Tram Hoang, Office Action received from the USPTO dated Jan. 6, 2010 for appln. No. 11/484,370, 46 pgs.
  • Brindle, et al., Amendment filed in the USPTO dated Jul. 6, 2010 for appln. No. 11/484,370, 23 pgs.
  • Bolam, et al., “Reliability Issues for Silicon-on-Insulator”, 2000 IEEE, IBM Microelectronics Division, pgs. 6.4.1-6.4.4, 4 pages.
  • Hu, et al., “A Unified Gate Oxide Reliability Model”, IEEE 99CH36296, 37th Annual International Reliability Physics Symposium, San Diego, CA 1999, pp. 47-51.
  • Tieu, Binh Kien, Notice of Allowance received from the USPTO dated Aug. 1, 2018 for appln. No. 15/656,953, 13 pgs.
Patent History
Patent number: RE48944
Type: Grant
Filed: Jan 9, 2020
Date of Patent: Feb 22, 2022
Assignee: pSemi Corporation (San Diego, CA)
Inventors: Christopher N. Brindle (Poway, CA), Michael A. Stuber (Carlsbad, CA), Dylan J. Kelly (San Diego, CA), Clint L. Kemerling (Escondido, CA), George P. Imthurn (San Diego, CA), Robert B. Welstand (San Diego, CA), Mark L. Burgener (San Diego, CA)
Primary Examiner: Tuan H Nguyen
Application Number: 16/738,787
Classifications
Current U.S. Class: Floating Gate (365/185.01)
International Classification: H01L 29/66 (20060101); H03K 17/16 (20060101); H03K 17/687 (20060101); H01L 29/786 (20060101); H01L 29/06 (20060101); H01L 29/10 (20060101);