With Treating Means (e.g., Jarring) Patents (Class 118/722)
  • Patent number: 8940367
    Abstract: A coating installation includes at least one recipient which can be evacuated and which is provided to receive a substrate, at least one gas supply device which can introduce at least one gaseous precursor into the recipient, and at least one activation device which contains at least one heatable activation element, the end thereof being secured to a securing point on a support element. A shielding element which can protect at least the securing point at least partially against the effect of the gaseous precursor is provided. The shielding element has a longitudinal extension having a first side and a second side, the first side being arranged on the support element and a locking element being arranged on the second side of the shielding element, the locking element having at least one outlet. At least one separation wall is arranged inside the shielding element, the wall separating the inner volume of the shielding element into a first partial volume and into a second partial volume.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: January 27, 2015
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V.
    Inventors: Tino Harig, Markus Höfer, Artur Laukart, Lothar Schäfer, Markus Armgardt
  • Publication number: 20150017319
    Abstract: Systems and methods of reducing outgassing of a substance within a reaction chamber of a reactor are disclosed. Exemplary methods include depositing a barrier layer within the reaction chamber and using a scavenging precursor to react with species on a surface of the reaction chamber. Exemplary systems include gas-phase deposition systems, such as atomic layer deposition systems, which include a barrier layer source and/or a scavenging precursor source fluidly coupled to a reaction chamber of the system.
    Type: Application
    Filed: July 12, 2013
    Publication date: January 15, 2015
    Inventors: Sung-Hoon Jung, Petri Raisanen, Eric Jen Cheng Liu, Mike Schmotzer
  • Publication number: 20150011076
    Abstract: A substrate processing system is described that has a reactor and a gas panel, and a common exhaust for the reactor and the gas panel. An exhaust conduit from the reactor is routed to the gas panel, and exhaust gases from the reactor are used to purge the gas panel. Gases from the reactor may be cooled before flowing to the gas panel.
    Type: Application
    Filed: June 16, 2014
    Publication date: January 8, 2015
    Inventor: Dennis L. DEMARS
  • Publication number: 20150011095
    Abstract: A chemical deposition apparatus having conductance control, which includes a showerhead module having a faceplate and a backing plate, the showerhead module including a plurality of inlets which deliver reactor chemistries to a cavity and exhaust outlets which remove reactor chemistries, a pedestal module configured to support a substrate and which moves vertically to close the cavity between the pedestal module and an outer portion of the faceplate, and at least one conductance control assembly, which is in fluid communication with the cavity via the exhaust outlets. The at least one conductance control assembly selected from one or more of the following: a ball valve assembly, a fluidic valve, magnetically coupled rotary plates, and/or a linear based magnetic system.
    Type: Application
    Filed: July 3, 2013
    Publication date: January 8, 2015
    Inventors: Ramesh Chandrasekharan, Karl Leeser, Chunguang Xia, Jeremy Tucker
  • Publication number: 20150000596
    Abstract: The present invention discloses a MOCVD gas diffusion system with gas inlet baffles. With the adoption of multiple detachable air inlet baffles under the MO gas (Metal Organic gas) inlet and the hydride gas inlet, the gas diffusion system can easily and effectively reduce the pre-reaction of the MO gas and the hydride gas near the gas inlets, prevent metal diffusions around the inlets and make the metal layer generated on the wafers on the wafer carrier be very even, the MO gas used is also massively reduced to save great cost. The MOCVD process with the diffusion system of the present invention thus has a great potential in application to productions of high-performance LED epitaxy.
    Type: Application
    Filed: August 9, 2013
    Publication date: January 1, 2015
    Applicant: NATIONAL CENTRAL UNIVERSITY
    Inventors: Shu-San HSIAU, Chun-Chung Liao, Tzu-Ching Chuang, Jyh-Chen Chen
  • Publication number: 20140338600
    Abstract: An exhausting apparatus includes an exhaust pump configured to extract unreacted precursor in a process chamber and vent the unreacted precursor out of the exhaust pump, and a first material supplier configured to supply a first material into the exhaust pump. The first material is adsorbable on an interior surface of the exhaust pump to prevent the unreacted precursor from being adsorbed on the interior surface of the exhaust pump.
    Type: Application
    Filed: April 23, 2014
    Publication date: November 20, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jong-Cheol LEE, Beom-Seok KIM, Suk-JIn CHUNG, Geun-Kyu CHOI
  • Publication number: 20140342555
    Abstract: Described are apparatus and methods for processing semiconductor wafers so that a film can be deposited on the wafer and the film can be UV treated without the need to move the wafer to a separate location for treatment. The apparatus and methods include a window which is isolated from the reactive gases by a flow of an inert gas.
    Type: Application
    Filed: May 17, 2013
    Publication date: November 20, 2014
    Inventors: Hyman Lam, Nicholas R. Denny, Joseph AuBuchon, Mei Chang
  • Patent number: 8888920
    Abstract: The present invention is an imprint system including an imprint unit transferring a transfer pattern to a coating film formed on a substrate using a template having the transfer pattern formed on a front surface thereof to form a predetermined pattern in the coating film, the imprint system including: a substrate carry-in/out station connected to the imprint unit, capable of keeping a plurality of the substrates, and carrying the substrate into/out of the imprint unit side; and a template carry-in/out station connected to the imprint unit, capable of keeping a plurality of the templates, and carrying the template into/out of the imprint unit side at a predetermined timing.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Patent number: 8882919
    Abstract: An apparatus and method for combinatorial non-contact wet processing of a liquid material may include a source of a liquid material, a first reaction cell, a second reaction cell, a first plurality of gas jets disposed within an interior of the first reaction cell, the first plurality of gas jets configured to atomize the liquid material transferred to the interior of the first reaction cell, a second plurality of gas jets disposed within an interior of the second reaction cell, the second plurality of gas jets configured to atomize the liquid material transferred to the interior of the second reaction cell, a first vacuum element disposed along a periphery of the first reaction cell, and a second vacuum element disposed along a periphery of the at least a second reaction cell.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: November 11, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Rajesh Kelekar
  • Publication number: 20140326183
    Abstract: A deposition source assembly for depositing a deposition material on a substrate disposed in a chamber, the deposition source assembly including: a deposition source disposed in the chamber, the deposition source being configured to deposit the deposition material on the substrate; an electrode passing through at least one wall of the chamber, the electrode being configured to provide power to the deposition source; an insulator disposed between the electrode and the wall of the chamber; and an insulator cap disposed on the insulator to cover at least a portion of the insulator.
    Type: Application
    Filed: September 17, 2013
    Publication date: November 6, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventor: Yong-Jun CHA
  • Publication number: 20140318449
    Abstract: A closed chemical introduction system used to deliver active ingredients in liquid chemical to a chemical vapor deposition system includes a robust, moisture-free cartridge containing a defined dose of liquid chemical. The cartridge is placed on a mounting slot specially configured to receive the cartridge. Upon initiating the system, a first linear mechanical actuator securely holds the cartridge in the slot, while an extraction lance attached to a second linear mechanical actuator punctures the cartridge from the bottom, extracts the liquid chemical and delivers it to a vaporization chamber. The vaporization chamber evaporates the liquid chemical and delivers the vapors containing the active ingredients to the chemical vapor deposition system.
    Type: Application
    Filed: April 23, 2014
    Publication date: October 30, 2014
    Applicant: DIAMON FUSION INTERNATIONAL, INC.
    Inventors: Russell C. SLAYBAUGH, Michael Stephen METCALFE, Adam ZAX, Guillermo SETA
  • Patent number: 8858713
    Abstract: Disclosed is an apparatus for depositing a thin film of material on a substrate and a regeneration process. The apparatus includes a chamber, a cryogenic panel disposed inside the chamber, a sample holder able to support a substrate, a gas injector able to inject a gaseous precursor into the chamber, a first trap connected to the vacuum chamber and able to trap a part of the gaseous precursor released by the cryogenic panel, the first trap having a fixed pumping capacity S1. The apparatus for depositing a thin film of material on a substrate includes a second trap having a variable pumping capacity S2 able to be regulated in function of the gaseous precursor partial pressure, the first and second trap providing a total pumping capacity S=S1+S2 sufficient to maintain the gaseous precursor partial pressure in the vacuum chamber under a determined pressure PL.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: October 14, 2014
    Assignee: Riber
    Inventors: Jerome Villette, Valerick Cassagne, Catherine Chaix
  • Publication number: 20140299060
    Abstract: A thin film deposition apparatus includes a vacuum chamber, a substrate supporter disposed in the vacuum chamber to support a target substrate on which a thin film is deposited, and a deposition source that evaporates a deposition material and supplies the evaporated deposition material to the target substrate. The deposition source includes a crucible that includes a deposition material-containing portion to accommodate the deposition material and a first flange at an upper end of the deposition material-containing portion, a spray nozzle that includes a spray portion through which the evaporated deposition material is sprayed and a second flange at a lower end of the spray portion to make contact with the first flange, and a cooling member attached to an outer surface of the first flange and the second flange.
    Type: Application
    Filed: September 5, 2013
    Publication date: October 9, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: HeungYeol NA, Jae hong AHN, Wonsik HYUN
  • Publication number: 20140302238
    Abstract: An apparatus and method for the evaporation and deposition of materials onto a substrate. A material hopper assembly may receive source material. An agitator mechanism may be controlled for urging or advancing forward the source material. A grinding mechanism may be controlled for grinding source material. A heating pot vessel may be heated to evaporate the source material. The evaporated source material may be deposited on a proximate substrate. The rate of the deposition may be controlled in part by the agitator mechanism and/or the grinding mechanism. Temperature zones in a heating pot vessel may be independently controlled to evaporate the source material. A reactor chamber may be heated to allow the evaporated source materials to interact. A heated mesh may be charged to accelerate particles of the evaporated source materials onto the substrate.
    Type: Application
    Filed: August 24, 2012
    Publication date: October 9, 2014
    Applicant: Mustang Vacuum Systems, Inc.
    Inventors: Robert Choquette, Lawrence Egle, Aaron Dickey
  • Patent number: 8853100
    Abstract: According to an embodiment of present disclosure, a film formation method is provided. The film formation method includes supplying a first process gas as a source gas for obtaining a reaction product to a substrate while rotating a turntable and revolving the substrate, and supplying a second process gas as a gas for nitriding the first process gas adsorbed to the substrate to the substrate in a position spaced apart along a circumferential direction of the turntable from a position where the first process gas is supplied to the substrate. Further, the film formation method includes providing a separation region along the circumferential direction of the turntable between a first process gas supply position and a second process gas supply position, and irradiating ultraviolet rays on a molecular layer of the reaction product formed on the substrate placed on the turntable to control stresses generated in a thin film.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Igeta, Jun Sato, Kazuo Yabe, Hitoshi Kato, Yusaku Izawa
  • Publication number: 20140284808
    Abstract: Provided is a method of manufacturing a stacked semiconductor device, which includes forming a stacked film on a semiconductor substrate, the stacked film including a plurality of silicon oxide films and a plurality of silicon nitride films, which are alternately arranged on top of each other, and the stacked film being obtained by repeatedly performing a series of operations of forming the silicon oxide film on the semiconductor substrate using one of triethoxysilane, octamethylcyclotetrasiloxane, hexamethyldisilazane and diethylsilane gases, and forming the silicon nitride film on the formed silicon oxide film; etching the silicon nitride films in the stacked film; removing carbons contained in the silicon oxide films, which are not removed in the etching, to reduce a concentration of the carbons; and forming electrodes in regions where the silicon nitride films are etched in the etching.
    Type: Application
    Filed: March 20, 2014
    Publication date: September 25, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuhide HASEBE, Tomoyuki OBU, Masaki KUROKAWA
  • Patent number: 8840723
    Abstract: An apparatus for manufacturing polycrystalline silicon whereby raw-material gas is supplied to one or more heated silicon seed rods provided vertically in a reactor so as to deposit the polycrystalline silicon on a surface of the silicon seed rod, having a seed rod holding member, made of conductive material, having a holding hole in which a lower end of the silicon seed rod is inserted, the holding hole having a horizontal cross-sectional shape with at least two corners, and the holding member having a screw hole extending from the outer surface of the seed rod holding member to at least the holding hole and formed at the location of at least two corners of the holding hole; and a fixing screw which fixes the silicon seed rod and is threaded through at least one of the screw holes.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: September 23, 2014
    Assignee: Mitsubishi Materials Corporation
    Inventors: Toshihide Endoh, Masayuki Tebakari, Toshiyuki Ishii, Masaaki Sakaguchi
  • Publication number: 20140273504
    Abstract: A substrate processing chamber comprising a chamber wall enclosing a process zone having an exhaust port, a substrate support to support a substrate in the process zone, a gas distributor for providing a deposition gas to the process zone, a solid state light source capable of irradiating substantially the entire surface of the substrate with light, and a gas energizer for energizing the deposition gas.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Aneesh Nainani, Joseph Johnson, Er-Xuan Ping, Adam Brand, Mathew Abraham
  • Publication number: 20140261183
    Abstract: A coating apparatus including an evaporation part, a thermal decomposition part, a deposition chamber, a vacuum pump, and a discharge pipe. The deposition chamber includes an upper portion, a lower portion facing the upper portion, and a sidewall portion connecting the upper portion and the lower portion to each other and including an inlet, first outlet, a second outlet, a third outlet and a fourth outlet. The discharge pipe includes a first auxiliary pipe connected to the first outlet and the second outlet, a second auxiliary pipe connected to the third outlet and the fourth outlet, an intermediate pipe connected to the first auxiliary pipe and the second auxiliary pipe, and a main pipe connected to the intermediate pipe. The vacuum pump is configured to discharge a portion of the monomer of the deposition material, which is not deposited, from the deposition chamber through the discharge pipe.
    Type: Application
    Filed: July 15, 2013
    Publication date: September 18, 2014
    Inventors: JAE CHEOL PARK, Chunghyuk Lee, Daeho Song, Nakcho Choi, Gyeongeun Eoh, Minjeong Oh, Min-Woo Lee, Sangkyun Bae
  • Publication number: 20140273404
    Abstract: In some embodiments, methods are described that allow the processing of a substrate using microwave-based degas systems. The methods allow process variables such as power, dwell time, frequency, backside cooling gas usage, backside cooling gas flow rate, and the like to be investigated. In some embodiments, apparatus are described that allow the investigation of process variables used in microwave-based degas systems to remove adsorbed species from the surface of a substrate. The apparatus allow process variables such as power, dwell time, frequency, backside cooling gas usage, backside cooling gas flow rate, and the like to be investigated.
    Type: Application
    Filed: November 27, 2013
    Publication date: September 18, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Kent Riley Child, Minh Huu Le
  • Publication number: 20140273416
    Abstract: Embodiments of the invention provide a method and apparatus for depositing a layer on a substrate. In one embodiment, the method includes exposing a surface of the substrate disposed within a processing chamber to a fluid precursor, directing an electromagnetic radiation generated from a radiation source to a light scanning unit such that the electromagnetic radiation is deflected and scanned across the surface of the substrate upon which a material layer is to be formed, and initiating a deposition process with the electromagnetic radiation having a wavelength selected for photolytic dissociation of the fluid precursor to deposit the material layer onto the surface of the substrate. The radiation source may comprise a laser source, a bright light emitting diode (LED) source, or a thermal source. In one example, the radiation source is a fiber laser producing output in the ultraviolet (UV) wavelength range.
    Type: Application
    Filed: February 21, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventor: STEPHEN MOFFATT
  • Publication number: 20140261080
    Abstract: A vapor deposition method may include applying a first electron beam to vaporize a portion of a first target material comprising a rare earth oxide, where the first electron beam delivers a first amount of energy. The method also may include applying a second electron beam to vaporize a portion of a second target material comprising silica, where the second electron beam delivers a second amount of energy different from the first amount of energy. In some examples, the second target material is separate from the first target material. Additionally, the portion of the first target material and the portion of the second target material may be deposited substantially simultaneously over a substrate to form a layer over the substrate. A system for practicing vapor deposition methods and articles formed using vapor deposition methods are also described.
    Type: Application
    Filed: August 24, 2011
    Publication date: September 18, 2014
    Applicant: ROLLS-ROYCE CORPORATION
    Inventor: Kang N. Lee
  • Publication number: 20140268080
    Abstract: An integrated extreme ultraviolet (EUV) blank production system includes: a vacuum chamber for placing a substrate in a vacuum; a first deposition system for depositing a planarization layer having a planarized top surface over the substrate; and a second deposition system for depositing a multi-layer stack on the planarization layer without removing the substrate from the vacuum. The EUV blank is in an EUV lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the EUV source; a reticle stage for placing a EUV mask blank with a planarization layer; and a wafer stage for placing a wafer. The EUV blank includes: a substrate; a planarization layer to compensate for imperfections related to the surface of the substrate, the planarization layer having a flat top surface; and a multi-layer stack on the planarization layer.
    Type: Application
    Filed: December 23, 2013
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Cara Beasley, Ralf Hofmann, Majeed Foad, Timothy Michaelson
  • Publication number: 20140256160
    Abstract: An apparatus for manufacturing semiconductor devices is provided with a processing liquid supply part for supplying processing liquid into a processing chamber which houses a substrate, a heater part for heating the processing liquid in the processing chamber, and a substrate support part which is provided in the processing chamber and supports the substrate.
    Type: Application
    Filed: May 21, 2014
    Publication date: September 11, 2014
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Yuichi Wada, Harunobu Sakuma, Hiroshi Ashihara, Hideto Tateno
  • Publication number: 20140255614
    Abstract: A system including an electrostatic spray system, including an electrostatic tool configured to charge and spray a PTFE, a material delivery system configured to deliver the PTFE to the electrostatic tool, a gas delivery system configured to deliver an airflow that atomizes the PTFE and sprays the charged PTFE on a target, and an infrared curing system configured to cure the PTFE on the target to produce a coating.
    Type: Application
    Filed: March 11, 2014
    Publication date: September 11, 2014
    Applicant: Finishing Brands Holdings Inc.
    Inventors: Steven Andrew Myers, Payton Xavier Cozart
  • Publication number: 20140238299
    Abstract: An electrode fabricating apparatus for a rechargeable battery according to the present invention includes: a vacuum chamber having an inner space; and a lithium depositor receiving a lithium source and having an evaporation unit heating and evaporating the lithium source, and a nozzle unit positioned on the evaporation unit and controlling an aperture ratio to control a deposition amount of lithium.
    Type: Application
    Filed: January 3, 2014
    Publication date: August 28, 2014
    Applicant: Samsung SDl Co., Ltd.
    Inventor: Ja-Hoon Cho
  • Publication number: 20140235069
    Abstract: An apparatus for use with radical sources for supplying radicals during semiconductor processing operations is provided. The apparatus may include a stack of plates or components that form a faceplate assembly. The faceplate assembly may include a radical diffuser plate, a precursor delivery plate, and a thermal isolator interposed between the radical diffuser plate and the precursor delivery plate. The faceplate assembly may have a pattern of radical through-holes with centerlines substantially perpendicular to the radical diffuser plate. The thermal isolator may be configured to regulate heat flow between the radical diffuser plate and the precursor delivery plate.
    Type: Application
    Filed: July 3, 2013
    Publication date: August 21, 2014
    Inventors: Patrick G. Breiling, Bhadri N. Varadarajan, Jennifer L. Petraglia, Bart J. van Schravendijk, Karl F. Leeser, Mandyam Ammanjee Sriram, Rachel E. Batzer
  • Publication number: 20140227461
    Abstract: A system and method for multiple beam laser deposition of thin films wherein separate laser beams are used to ablate material from separate targets for concurrent deposition on a common substrate. The laser beams may have the same or different wavelengths, energies, or pulse rates. The targets may be similar or differing classes of materials including, but not limited to polymers, organics, inorganics, nanocrystals, solutions, or mixtures of materials. One or more targets may be disposed on a tiltable mount to adjust the direction and mixing of the ablation plumes from the multiple targets. The target surface may be scanned by moving the target in one or more axes. Multiple ablation modes may be concurrently employed at the various targets, including, but not limited to pulsed laser, MAPLE, IR-MAPLE and other modes. A polymer-nano-composite film example is disclosed.
    Type: Application
    Filed: January 17, 2014
    Publication date: August 14, 2014
    Inventors: Abdalla Darwish, Sergey Sarkisov
  • Publication number: 20140216330
    Abstract: An apparatus for fabricating an ingot according to the embodiment comprises a crucible for receiving a raw material; and a filter part for selectively filtering a specific component in the crucible, wherein the filter part comprises a polymer.
    Type: Application
    Filed: June 20, 2012
    Publication date: August 7, 2014
    Applicant: LG INNOTEK CO., LTD.
    Inventors: Dong Geun Shin, Chang Hyun Son
  • Publication number: 20140220247
    Abstract: A system and method for treating a deposition reactor are disclosed. The system and method remove or mitigate formation of residue in a gas-phase reactor used to deposit doped metal films, such as aluminum-doped titanium carbide films or aluminum-doped tantalum carbide films. The method includes a step of exposing a reaction chamber to a treatment reactant that mitigates formation of species that lead to residue formation.
    Type: Application
    Filed: January 28, 2014
    Publication date: August 7, 2014
    Applicant: ASM IP Holding B.V.
    Inventors: Suvi Haukka, Eric James Shero, Fred Alokozai, Dong Li, Jereld Lee Winkler, Xichong Chen
  • Patent number: 8795434
    Abstract: A method and apparatus for mass production of graphene and carbon tubes is presented. A carbon-containing gas (CCG) inside a set of thin gaps formed by an array of flat plates, or small multiple bores in a cylindrical shell, is maintained under free molecular conditions at all times. A train of intermittent light pulses of a tunable high power laser beam compatible with the CCG's major absorption bands is sent through the CCG inside the gaps, or bores, to cause dissociation of the carbon atoms from the CCG molecules in said molecules' one mean free path of flight and deposition of said atoms onto the adjacent solid surfaces (plate or bore walls) during each pulse, and after a pre-determined number of pulses to form a one-atom-thick layer of hexagonal lattice of carbon atoms. Said carbon atom layers on the flat plate surfaces are graphene, those on the shell bore walls carbon tubes. Large quantity and size, and predicted high quality of products are special features of this method.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: August 5, 2014
    Inventor: Jaw Tian Lin
  • Publication number: 20140199493
    Abstract: Provided is a film formation apparatus with which an anti-fouling film having high usability and antiwear performance may be formed efficiently. According to a film formation apparatus (1) of the present invention, a substrate holder (12) which comprises a basal body holding surface for folding a plurality of substrates (14) is disposed in a vacuum container (10) in a rotatable manner.
    Type: Application
    Filed: September 26, 2012
    Publication date: July 17, 2014
    Applicant: Shincron Co., Ltd
    Inventors: Ichiro Shiono, Tatsuya Hayashi, Yousong JIANG, Ekishu Nagae, Mitsuhiro Miyauchi, Shingo Samori
  • Patent number: 8771416
    Abstract: A substrate processing apparatus comprises: a reaction chamber to process a substrate; a heating target object disposed in the reaction chamber to surround at least a region where the substrate is disposed, the heating target object having a cylindrical shape with a closed end; an insulator disposed between the reaction chamber and the heating target object to surround the heating target object, the insulator having a cylindrical shape with a closed end facing the closed end of the heating target object; an induction heating unit disposed outside the reaction chamber to surround at least the region where the substrate is disposed; a first gas supply system to supply at least a source gas into the reaction chamber; and a controller to control the first gas supply system so that the first gas supply system supplies at least the source gas into the reaction chamber for processing the substrate.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: July 8, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shuhei Saido, Takatomo Yamaguchi, Kenji Shirako
  • Publication number: 20140182515
    Abstract: A substrate processing apparatus includes: a processing chamber configured to accommodate a substrate; a vaporized gas supply system which includes a vaporizer to vaporize a liquid precursor into a vaporized gas and is configured to supply the vaporized gas into the processing chamber; and a control unit configured to control the vaporized gas supply system to supply a liquid precursor and a carrier gas into a vaporization chamber formed in the vaporizer such that a ratio of a partial pressure of the liquid precursor to a total pressure in the vaporization chamber is equal to or lower than 20%.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 3, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Hirohisa YAMAZAKI, Yuji TAKEBAYASHI
  • Publication number: 20140174356
    Abstract: Provided are a substrate supporting unit and a substrate processing apparatus, and a method of manufacturing the substrate supporting unit. The substrate supporting unit includes a susceptor on which a substrate is placed on a top surface thereof, one or more heat absorbing members which are capable of being converted between a mounted position at which the heat absorbing member is disposed on an upper portion of the susceptor to thermally contact the susceptor and a released position at which the heat absorbing member is separated from the upper portion of the susceptor, the one or more heat absorbing members absorbing heat of the susceptor at the mounted position, and an edge ring having a plurality of fixing slots in which the heat absorbing members are selectively inserted and fixed.
    Type: Application
    Filed: August 24, 2012
    Publication date: June 26, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Hai Won Kim, Sung-Kil Cho
  • Publication number: 20140174357
    Abstract: Provided is an equipment for manufacturing a semiconductor. The equipment for manufacturing a semiconductor includes a cleaning chamber in which a cleaning process is performed on substrates, an epitaxial chamber in which an epitaxial process for forming an epitaxial layer on each of the substrates is performed, and a transfer chamber to which the cleaning chamber and the epitaxial chamber are connected to sides surfaces thereof, the transfer chamber including a substrate handler for transferring the substrates, on which the cleaning process is completed, into the epitaxial chamber. The cleaning chamber is performed in a batch type with respect to the plurality of substrates.
    Type: Application
    Filed: July 31, 2012
    Publication date: June 26, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Young Dae Kim, Jun Jin Hyon, Sang Ho Woo, Seung Woo Shin, Hai Won Kim
  • Publication number: 20140170318
    Abstract: The present disclosure relates to methods and systems for reducing silica recession of silicon-containing ceramics or silicon-containing ceramic composites, particularly those exposed to a combustion gas or to combustion gas environments, including those exposed to high temperature combustion gas environments. The methods and systems involve silicon-doping of compressed air and/or removal of moisture from compressed air prior to co-mingling the treated compressed air with the combustion gas to which the silicon-containing ceramics or silicon-containing ceramic composites are exposed.
    Type: Application
    Filed: December 18, 2012
    Publication date: June 19, 2014
    Applicant: GENERAL ELECTRIC COMPANY
    Inventor: Krishan Lal LUTHRA
  • Publication number: 20140147998
    Abstract: There are disclosed herein various implementations of a method and system for ion implantation at high temperature surface equilibrium conditions. The method may include situating a III-Nitride semiconductor body in a surface equilibrium chamber, establishing a gas pressure greater than or approximately equal to a surface equilibrium pressure of the III-Nitride semiconductor body, and heating the III-Nitride semiconductor body to an elevated implantation temperature in the surface equilibrium chamber while substantially maintaining the gas pressure. The method also includes implanting the III-Nitride semiconductor body in the surface equilibrium at the elevated implantation temperature chamber while substantially maintaining the gas pressure, the implanting being performed using an ion implanter interfacing with the surface equilibrium chamber.
    Type: Application
    Filed: January 31, 2014
    Publication date: May 29, 2014
    Applicant: International Rectifier Corporation
    Inventor: Michael A. Briere
  • Publication number: 20140141154
    Abstract: A vapor deposition apparatus in which a deposition process is performed by moving a substrate, the vapor deposition apparatus including a supply unit that injects at least one raw material gas towards the substrate, and a blocking gas flow generation unit that is disposed corresponding to the supply unit and generates a gas-flow that blocks a flow of the raw material gas.
    Type: Application
    Filed: March 12, 2013
    Publication date: May 22, 2014
    Inventors: Jin-Kwang KIM, Seung-Yong SONG, Myung-Soo HUH, Suk-Won JUNG, Choel-Min JANG, Jae-Hyun KIM, Sung-Chul KIM
  • Publication number: 20140130740
    Abstract: A plasma deposition apparatus including a plasma generation unit and a droplet separation unit is provided. The plasma generation unit includes an inlet end and an outlet end. The droplet separation unit is located at the inlet end. Besides, the droplet separation unit includes a first chamber, an import port, and a connection port. The import port and the connection port are connected to the first chamber. The connection port is connected to the inlet end, and the import port serves to receive an atomized precursor. The atomized precursor is separated into a first portion and a second portion after entering the first chamber, and droplets of the first portion are smaller than droplets of the second portion. The first portion of the atomized precursor is suitable for entering the inlet end through the connection port.
    Type: Application
    Filed: December 24, 2012
    Publication date: May 15, 2014
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Jui-Mei Hsu, Chen-Der Tsai, Jiuan-Ren Jei, Ying-Fang Chang, Chia-Chiang Chang
  • Publication number: 20140123900
    Abstract: A gas shower device having gas curtain comprises a first gas shower unit for injecting a reaction gas, thereby forming a reaction gas region, and a second gas shower unit. The second gas shower unit arranged around a periphery of the first gas shower unit comprises a buffer gas chamber for providing a buffer gas, and a curtain distribution plate. The curtain distribution plate further comprises a plurality through holes for injecting the buffer gas, thereby forming a gas curtain around a periphery of the reaction gas region. In another embodiment, an apparatus for depositing film is provided by utilizing the gas shower device having gas curtain, wherein the gas curtain prevents the reaction gas in the reaction gas region from being affected directly by a vacuum pressure so that a residence time of reaction gas can be extended thereby increasing the utilization of reaction gas and film-forming efficiency.
    Type: Application
    Filed: July 5, 2013
    Publication date: May 8, 2014
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Ching-Chiun WANG, Chih-Yung Huang, Kung-Liang Lin, Jung-Chen Chien, Chen-Der Tsai, Chien-Chih Chen
  • Publication number: 20140112739
    Abstract: A substrate processing apparatus includes a processing vessel configured to process a substrate; a first purging part configured to perform a first purge to supply inert gas at a first flow rate into a substrate container accommodating the substrate; and a second purging part configured to perform a second purge to supply inert gas at a second flow rate into the substrate container, the second flow rate being lower than the first flow rate.
    Type: Application
    Filed: September 30, 2013
    Publication date: April 24, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Makoto HIRANO, Akinari HAYASHI
  • Publication number: 20140106577
    Abstract: Provided is a method of forming a silicon nitride film on an object to be processed, which includes: supplying a silicon raw material gas into a processing chamber; and supplying a nitridant gas into the processing chamber, wherein supplying the silicon raw material gas includes an initial supply stage in which the silicon raw material gas is initially supplied and a late supply stage following the initial supply stage, wherein a first internal pressure of the processing chamber defined in the initial supply stage is lower than a second internal pressure of the processing chamber defined in the late supply stage.
    Type: Application
    Filed: October 16, 2013
    Publication date: April 17, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yamato TONEGAWA, Keiji TABUKI
  • Patent number: 8697198
    Abstract: Embodiments relate to applying a magnetic field across the paths of injected polar precursor molecules to cause spiral movement of the precursor molecules relative to the surface of a substrate. When the polar precursor molecules arrive at the surface of the substrate, the polar precursor molecules make lateral movements on the surface due to their inertia. Such lateral movements of the polar precursor molecules increase the chance that the molecules would find and settle at sites (e.g., nucleation sites, broken bonds and stepped surface locations) or react on the surface of the substrate. Due to the increased chance of absorption or reaction of the polar precursor molecules, the injection time or injection iterations may be reduced.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: April 15, 2014
    Assignee: Veeco ALD Inc.
    Inventor: Sang In Lee
  • Publication number: 20140096715
    Abstract: An apparatus removes particles from a gas/vapor mixture while at the same time improves the uniformity of gas/vapor mixture to create a more uniformly-mixed mixture stream for thin film deposition and semiconductor device fabrication.
    Type: Application
    Filed: December 16, 2013
    Publication date: April 10, 2014
    Applicant: MSP Corporation
    Inventors: Benjamin Y.H. Liu, Yamin Ma, Thuc Dinh
  • Publication number: 20140094027
    Abstract: Provided is a method of forming a gate insulating film for use in a MOSFET for a power device. An AlN film is formed on a SiC substrate of a wafer W and then the formation of an AlO film and the formation of an AlN film on the formed AlO film are repeated, thereby forming an AlON film having a laminated structure in which AlO films and AlN films are alternately laminated. A heat treatment is performed on the AlON film having the laminated structure.
    Type: Application
    Filed: October 2, 2013
    Publication date: April 3, 2014
    Applicants: OSAKA UNIVERSITY, TOKYO ELECTRON LIMITED
    Inventors: Shuji AZUMO, Yusaku KASHIWAGI, Yuichiro MOROZUMI, Yu WAMURA, Katsushige HARADA, Kosuke TAKAHASHI, Heiji WATANABE, Takayoshi SHIMURA, Takuji HOSOI
  • Publication number: 20140093744
    Abstract: A one-step and room-temperature process for depositing nanoparticles or nanocomposite (nanoparticle-assembled) films of metal oxides such as crystalline titanium dioxide (TiO2) onto a substrate surface using ultrafast pulsed laser ablation of Titania or metal titanium target. The system includes a pulsed laser with a pulse duration ranging from a few femtoseconds to a few tens of picoseconds, an optical setup for processing the laser beam such that the beam is focused onto the target surface with an appropriate average energy density and an appropriate energy density distribution, and a vacuum chamber in which the target and the substrate are installed and background gases and their pressures are appropriately adjusted.
    Type: Application
    Filed: December 5, 2013
    Publication date: April 3, 2014
    Applicant: IMRA AMERICA, INC.
    Inventors: ZHENDONG HU, Yong Che, Bing Liu
  • Publication number: 20140079877
    Abstract: A method of fabricating a magnetic recording medium sequentially forms a magnetic recording layer, a protection layer, and a lubricant layer on a stacked body. The lubricant layer is formed by vapor-phase lubrication without exposing the stacked body to atmosphere after forming the protection layer on the stacked body. A region having a gas pressure P3 is provided in a transport path of the stacked body after the formation of the protection layer and before the formation of the lubricant layer, satisfying relationships P3>P1 and P3>P2, where P1 denotes a process gas pressure at a time of forming the protection layer, and P2 denotes a process gas pressure at a time of forming the lubricant layer.
    Type: Application
    Filed: September 4, 2013
    Publication date: March 20, 2014
    Applicant: SHOWA DENKO K.K.
    Inventors: Yasuyuki Tsutatani, Daisuke Shiomi, Satoru Ueno, Ichiro Ota, Takehiko Okabe
  • Patent number: 8667928
    Abstract: Embodiments of the present disclosure include semiconductor processing methods and systems. One method includes forming a material layer on a semiconductor substrate by exposing a deposition surface of the substrate to at least a first and a second reactant sequentially introduced into a reaction chamber having an associated process temperature. The method includes removing residual first reactant from the chamber after introduction of the first reactant, removing residual second reactant from the chamber after introduction of the second reactant, and establishing a temperature differential substantially between an edge of the substrate and a center of the substrate via a purge process.
    Type: Grant
    Filed: April 13, 2011
    Date of Patent: March 11, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Shyam Surthi
  • Patent number: 8663389
    Abstract: A method and apparatus for depositing III-V material is provided. The apparatus includes a reactor partially enclosed by a selectively permeable membrane 12. A means is provided for generating source vapors, such as a vapor-phase halide of a group III element (IUPAC group 13) within the reactor volume 10, and an additional means is also provided for introducing a vapor-phase hydride of a group V element (IUPAC group 15) into the volume 10. The reaction of the group III halide and the group V hydride on a temperature-controlled substrate 18 within the reactor volume 10 produces crystalline III-V material and hydrogen gas. The hydrogen is preferentially removed from the reactor through the selectively permeable membrane 12, thus avoiding pressure buildup and reaction imbalance. Other gases within the reactor are unable to pass through the selectively permeable membrane.
    Type: Grant
    Filed: May 21, 2011
    Date of Patent: March 4, 2014
    Inventor: Andrew Peter Clarke