Including Application Of Electrical Radiant Or Wave Energy To Work Patents (Class 134/1)
  • Patent number: 10490392
    Abstract: A method performed by a processor of a plasma processing system including a transfer device and a plasma processing apparatus that includes a process chamber. The process chamber includes a mount table on a surface of which a first focus ring is placed. The method includes controlling the transfer device to transfer the first focus ring out of the process chamber without opening the process chamber to the atmosphere; after the first focus ring is transferred out of the process chamber, controlling the plasma processing apparatus to clean the surface of the mount table; and after the surface of the mount table is cleaned, controlling the transfer device to transfer a second focus ring into the process chamber and place the second focus ring on the surface of the mount table without opening the process chamber to the atmosphere.
    Type: Grant
    Filed: July 6, 2017
    Date of Patent: November 26, 2019
    Assignee: Tokyo Electron Limited
    Inventor: Shigeru Ishizawa
  • Patent number: 10473627
    Abstract: An apparatus for in-situ monitoring of a workpiece includes a fluidic container defining a cavity and having a peripheral portion that defines an aperture. The fluidic container contains an acoustic transmission fluid. A conformable membrane is sealably disposed about the peripheral portion of the aperture of the fluidic container. The conformable membrane is disposed to sealably contain the acoustic transmission fluid within the fluidic container and to conform to a surface of a portion of the workpiece absent an interposed fluid. An acoustic source transducer is disposed in the cavity of the fluidic container and in contact with the acoustic transmission fluid. The acoustic source transducer is disposed to generate a first acoustic wave in the direction of the workpiece. An acoustic receiving transducer is disposed to monitor a residual acoustic wave that is reflected from the workpiece in response to the first acoustic wave.
    Type: Grant
    Filed: April 28, 2017
    Date of Patent: November 12, 2019
    Assignee: GM Global Technology Operations LLC
    Inventors: Megan E. McGovern, Teresa J. Rinker
  • Patent number: 10464108
    Abstract: Certain exemplary aspects of the present disclosure are directed towards apparatuses and methods which autonomously decontaminate parts. Parts to be cleaned are identified, and based on the identification of the part, a part specific cleaning program is initiated. During the cleaning, the part is manipulated about a gas supply in such a way that the drag force on the contamination particles attached to the part exceeds the contamination particles' surface adhesion force and accordingly is removed from the surface of the part. The removed contamination is then evacuated from the atmospheric environment near the part by a low pressure zone of a second gaseous material near the part.
    Type: Grant
    Filed: August 28, 2017
    Date of Patent: November 5, 2019
    Assignee: Seagate Technology LLC
    Inventors: Timothy Ronald Brown, Grant Nicholas Hester, Dennis Quinto Cruz, David Maxwell Harrold, Hans John Geittmann
  • Patent number: 10460936
    Abstract: A method and apparatus for forming a flowable film are described. The method includes providing an oxygen free precursor gas mixture to a processing chamber containing a substrate. The oxygen free precursor gas is activated by exposure to UV radiation in the processing chamber. Molecular fragments resulting from the UV activation are encouraged to deposit on the substrate to form a flowable film on the substrate. The substrate may be cooled to encourage deposition. The film may be hardened by heating and/or by further exposure to UV radiation.
    Type: Grant
    Filed: October 16, 2017
    Date of Patent: October 29, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Brian Saxton Underwood, Abhijit Basu Mallick, Mukund Srinivasan, Juan Carlos Rocha-Alvarez
  • Patent number: 10459352
    Abstract: A lithography system includes a load lock chamber comprising an opening configured to receive a mask, an exposure module configured to expose a semiconductor wafer to a light source through use of the mask, and a cleaning module embedded inside the lithography tool, the cleaning module being configured to clean carbon particles from the mask.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: October 29, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shu-Hao Chang, Norman Chen, Jeng-Horng Chen, Kuo-Chang Kau, Ming-Chin Chien, Shang-Chieh Chien, Anthony Yen, Kevin Huang
  • Patent number: 10456007
    Abstract: A conveyor dishwasher (1) has at least one wash zone (11, 12) in which wash liquid is sprayed onto the washware, at least one final rinse zone (14) in which final rinse liquid is sprayed onto the washware and a waste air system (20) for discharging waste air from the conveyor dishwasher (1). To save energy and maintain hygiene performance, a waste water heat recovery system (25) is provided for transferring at least a portion of the thermal energy in the waste water from the conveyor dishwasher (1) as useful heat to the final rinse liquid and a waste air heat recovery system is further provided for transferring at least a portion of the thermal energy in the waste air which is discharged or is to be discharged from the conveyor dishwasher (1) as useful heat to at least the final rinse.
    Type: Grant
    Filed: May 5, 2015
    Date of Patent: October 29, 2019
    Assignee: ILLINOIS TOOL WORKS INC.
    Inventors: Harald Disch, Martin Schrempp
  • Patent number: 10450732
    Abstract: Described herein is a fluid dispensing system, a system for processing waste material and a fluid monitoring system and a method of monitoring the quality of a fluid. One embodiment provides a fluid dispensing system (1) including a fluid dispenser (3) in fluid communication with at least one source of a first fluid. An identification device identifies a user of the system and generates a user identifier. A processor (19) is responsive to the user identifier to access a database (27) to retrieve user data indicative of one or more user preferences and, in response, generate a control signal. One or more electrical sensors (25) are configured to sense a gesture motion from the user and, in response, generate a local input signal. An actuator system (29) is responsive to the control signal and the local input signal to dispense the first fluid from the fluid dispenser with predefined characteristics.
    Type: Grant
    Filed: September 15, 2015
    Date of Patent: October 22, 2019
    Inventor: Li Jun Xia
  • Patent number: 10442707
    Abstract: A biological filtration system for the neutralization of urine and other pool biologicals within a water filtration system of a pool or spa, providing for the neutralization of urine, bacteria, viruses and other biologicals, using a UVC lamp, a urease reaction chamber, a nitrifying bacteria reaction chamber and a nitrate filter prior to return to the water inlet supply line of the pool or spa.
    Type: Grant
    Filed: June 21, 2018
    Date of Patent: October 15, 2019
    Inventors: Samuel Johnston, Ethan Reed, Aaron Wuchterl
  • Patent number: 10434546
    Abstract: The water outlet of a subsystem that includes an ultraviolet oxidation device and the water inlet of each substrate treatment device are connected to each other via a main pipe. A hydrogen peroxide removal device is installed between the ultraviolet oxidation device of the subsystem and a non-regenerative ion-exchange device. In addition, a carbon dioxide supply device is installed at the middle of a pipe that branches from the water outlet of the subsystem to reach the substrate treatment device. According to an aspect, the hydrogen peroxide removal device is filled with a platinum-group metal catalyst. Thus, ultrapure water passed through the ultraviolet oxidation device is used as a base to produce carbonated water in which the concentration of hydrogen peroxide dissolved therein is limited to 2 ?g/L or less and to which carbon dioxide is added to adjust resistivity to be within the range of 0.03 to 5.0 M?·cm.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: October 8, 2019
    Assignee: ORGANO CORPORATION
    Inventors: Daisaku Yano, Masami Murayama, Yukinari Yamashita, Koji Yamanaka
  • Patent number: 10426316
    Abstract: A shoe sole cleaning device may include a fluid reservoir capsule having an inner chamber for containing a cleaning solution. The shoe cleaning device may also include a trough and a fluid pump operably connected to a fluid exit port of the fluid reservoir capsule to pump the cleaning solution from the fluid exit port to the trough. A brush may be disposed partially within the trough such that some of its bristles are at least partially submersible in the cleaning solution in the trough. A motor may cause the brush to rotate about an axial shaft picking up the cleaning solution from the trough to clean a shoe's sole. A fluid recycle conduit may transport the cleaning solution from the trough back to the inner chamber of the fluid reservoir capsule when the cleaning solution in the trough reaches a predetermined height.
    Type: Grant
    Filed: December 9, 2016
    Date of Patent: October 1, 2019
    Inventor: Steven A. Gold
  • Patent number: 10428306
    Abstract: Methods of decontaminating bone tissue and an apparatus or system for the same are provided. The methods can be multi-batch processes and include contacting the bone tissue having contaminants with carbon dioxide to decontaminate the bone tissue and to form carbon dioxide having contaminants. The contaminated carbon dioxide is collected and the contaminants are removed to obtain purified carbon dioxide which can be recycled to treat contaminated bone tissue. The contaminated carbon dioxide can be purified by bubbling it through water and/or an organic solvent followed by acid treatment, filtering and liquefying the carbon dioxide. Contaminants that can be removed from contaminated bone tissue, and in turn, from contaminated carbon dioxide include infectious organisms, bacteria, viruses, protozoa, parasites, fungi and mold or a mixture thereof.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: October 1, 2019
    Assignee: Warsaw Orthopedic, Inc.
    Inventors: Mark DeCaro, Guobao Wei
  • Patent number: 10428228
    Abstract: A composition for pretreating surfaces of equipment for use with an uncured cementitious material, such as uncured concrete, and/or for cleaning cured cementitious material from the surfaces of such equipment includes an aqueous solution with colloidal silica. Pretreatment of a surface with such an aqueous solution may reduce or eliminate adhesion of uncured cementitious material to the surface. Cured cementitious material may be removed from equipment surfaces by wetting the cured cementitous material with the aqueous solution to chemically soften the cured cementitious material, along with abrading the cured cementitious material to mechanically remove the same from the equipment surfaces. Systems for removing cured cementitious material from the surfaces of equipment configured to use with uncured cementitious material include an abrasive element and an aqueous solution with colloidal silica.
    Type: Grant
    Filed: May 8, 2015
    Date of Patent: October 1, 2019
    Assignee: Arris Technologies, LLC
    Inventor: Mark Wetherell
  • Patent number: 10406583
    Abstract: An apparatus for forming a metal workpiece having a first surface is described herein. The apparatus includes a trough containing a liquid. The apparatus also includes a support for positioning the metal workpiece in an impact-receiving position. In the impact-receiving position, the first surface is submerged in the liquid. The apparatus includes a driven member for applying multiple impacts to the first surface of the metal workpiece while the metal workpiece is in the impact-receiving position.
    Type: Grant
    Filed: December 10, 2015
    Date of Patent: September 10, 2019
    Assignee: The Boeing Company
    Inventors: David G. Jensen, Michael D. McGraw
  • Patent number: 10401568
    Abstract: A method for processing ferrules for fiber optic connectors is disclosed herein. The method involves ablating a distal end face of the ferrule with the plurality of laser beam pulses to remove a distal layer of the ferrule without removing an optical fiber secured within the ferrule. By removing the distal layer from the ferrule, the optical fiber is caused to protrude distally outwardly from the distal end of the ferrule by a desired amount. A final polish is applied to the distal end face of the ferrule. In some examples, a subsequent laser step is used to remove portions of the distal end face of the ferrule.
    Type: Grant
    Filed: August 20, 2015
    Date of Patent: September 3, 2019
    Assignee: CommScope Technologies LLC
    Inventor: Yu Lu
  • Patent number: 10399178
    Abstract: An apparatus includes a robotic manipulator with a stationary base, and an end effector actuated by the robotic manipulator, wherein the end effector is adjacent to a workpiece. A scanning laser head unit includes a laser and an optical train configured to move a laser beam over the workpiece. A control unit is configured to move the robotic manipulator such that movement of the end effector tracks movement of the laser beam.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: September 3, 2019
    Assignee: 3M INNOVATIVE PROPERTIES COMPANY
    Inventors: Brett R. Hemes, Schoen A. Schuknecht, Andrew K. Hartzell
  • Patent number: 10391486
    Abstract: A technique relates to a fluidic cell configured to hold a nanofluidic chip. A first plate is configured to hold the nanofluidic chip. A second plate is configured to fit on top of the first plate, such that the nanofluidic chip is held in place. The second plate has at least one first port and at least one second port. The second plate has an entrance hole configured to communicate with an inlet hole of the nanofluidic chip. The second port is angled above the first port, such that the first port and second port intersect to form a junction. The second port is formed to have a line-of-sight to the entrance hole, such that the second port is configured to receive input for extracting air trapped at a vicinity of the entrance hole.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: August 27, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael A. Pereira, Joshua T. Smith, Benjamin H. Wunsch
  • Patent number: 10388542
    Abstract: A nozzle as a whole is formed into a cylindrical structure having a supply surface with a supply opening as a bottom surface, and the supply surface is narrower than a surface of a wafer main portion of a sectional recession wafer and has a shape that fits in the surface of the wafer main portion. Therefore, in a state where the center of the wafer main portion and the center of the supply surface coincide with each other in plan view, the nozzle is brought close to the surface of the wafer main portion of the sectional recession wafer, whereby the supply surface of the nozzle can be disposed in a close distance from the surface of the wafer main portion in a wafer inner space.
    Type: Grant
    Filed: February 13, 2018
    Date of Patent: August 20, 2019
    Assignee: Mitsubishi Electric Corporation
    Inventor: Hisayuki Saeki
  • Patent number: 10381235
    Abstract: Embodiments of the invention provide a substrate processing method for selective SiN etching relative to other layers used in semiconductor manufacturing. According to one embodiment, the substrate processing method includes providing in a plasma processing chamber a substrate containing a first material containing silicon nitride and a second material that is different from the first material, forming a plasma-excited process gas containing NF3 and O2, and exposing the substrate to the plasma-excited process gas to selectively etch the first material relative to the second material. According to one embodiment, the second material may be selected from the group consisting of Si, SiO2, and a combination thereof.
    Type: Grant
    Filed: May 26, 2017
    Date of Patent: August 13, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Alok Ranjan, Vinayak Rastogi, Sonam D. Sherpa
  • Patent number: 10376932
    Abstract: Methods of cleaning internal portions of additively manufactured components are provided, together with an apparatus for carrying out the cleaning. The methods use an impacting element which is contained within the internal portion and the component is vibrated to clean material from the component and leave one or more hollow portions. Various embodiments for retaining the impacting element are set out, which preferably use a grid which allows loosened powder to fall out of the component and, optionally, be recycled for use in further manufacturing processes. The methods are described in relation to components for gas turbine engines but have wider application in relation to any additively manufactured component in which it is desired to have a hollow internal portion.
    Type: Grant
    Filed: February 3, 2016
    Date of Patent: August 13, 2019
    Assignee: ROLLS-ROYCE plc
    Inventors: Peter Williamson, Richard Hawley, David Neely
  • Patent number: 10370695
    Abstract: A method of testing for pathogens can include applying a pathogen indicating substance to an object, the pathogen indicating substance having one characteristic when not in contact with a pathogen and another characteristic when in contact with a pathogen, and generating a signal indicative of the level of pathogen contamination on the object by quantifying the presence of the pathogen indicating substance with the pathogen indicating characteristic on the object. An apparatus for testing for pathogens can include a dispenser for dispensing a pathogen indicating substance, the pathogen indicating substance having one characteristic which is altered to another characteristic on contact with a pathogen, a main sensor for detecting a level of pathogen contamination by quantifying the pathogen indicating substance having the pathogen indicating characteristic, and a control unit for generating a signal indicative of the level of pathogen contamination detected by the sensor.
    Type: Grant
    Filed: September 8, 2016
    Date of Patent: August 6, 2019
    Inventor: Yogesh Kumar Kanhye
  • Patent number: 10363584
    Abstract: The disclosure relates generally to methods for removing coatings and bond coats of ceramic matrix composites. More specifically, the disclosure relates to, for example, methods of removing a bond coat from a ceramic matrix composite by contacting a ceramic matrix composite with at least one hydroxide at particular temperatures and removing the bond coat from said ceramic matrix composite.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: July 30, 2019
    Assignee: General Electric Company
    Inventors: Jared Weaver, Daniel Gene Dunn
  • Patent number: 10347503
    Abstract: Methods for cleaning substrates are described including cleaning substrates having hardmask masks and polymer films, such part of semiconductor fabrication. Cleaning methods include ultraviolet (UV) light exposure of process gas mixtures and liquid cleaning chemistries. A substrate and/or process fluids are exposed to ultraviolet radiation. A process gas mixture being irradiated can include an oxidizing gas mixture (air, clean dry air, oxygen, peroxygen, etc.). Reducing gas mixtures, having hydrogen, can also be irradiated. Reactive species from irradiated gas mixtures are exposed to the substrate to chemically modify film properties, such as by facilitating a subsequent liquid cleaning step. Liquid cleaning chemistries on a substrate surface can also be irradiated. Such cleaning techniques enable shorter cleaning times, lower processing temperatures, and reduced damage to underlying or intermediate layers such as dielectric layers.
    Type: Grant
    Filed: November 10, 2014
    Date of Patent: July 9, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Ian J. Brown, Junjun Liu
  • Patent number: 10343193
    Abstract: A system for cleaning an object may include a cleaning medium dispenser configured to deliver a cleaning medium to a surface of the object, wherein the cleaning medium dislodges and captures debris from the surface, an ultrasonic device configured to deliver ultrasonic waves to the object, wherein the ultrasonic waves generate ultrasonic vibrations in the object to atomize the cleaning medium from the surface and a vacuum configured to provide a vacuum airflow, wherein the vacuum airflow collects atomized cleaning medium and debris from the surface.
    Type: Grant
    Filed: February 24, 2014
    Date of Patent: July 9, 2019
    Assignee: The Boeing Company
    Inventor: Sergey G. Ponomarev
  • Patent number: 10349471
    Abstract: Microwave irradiator 12 is attached to a furnace main body of a heating furnace 11 having microwave permeability. A running passage for passing a fiber member F which is the object to be heated is formed inside the heating furnace 11. A first tubular member 13 made of a first microwave heat-generating material absorbing microwave energy and generating heat is rotatably disposed around the running passage. A second tubular member made of a second microwave heat-generating material absorbing microwave energy and generating heat is disposed in the first tubular member 13. The fiber member F is heated and calcined while running the fiber member F containing carbon in the running passage of the second tubular member 14.
    Type: Grant
    Filed: July 13, 2017
    Date of Patent: July 9, 2019
    Inventor: Hiroji Oishibashi
  • Patent number: 10322270
    Abstract: An applicator for use with a skin care composition, comprising a magnetic element disposed inside the applicator and a cover that at least partially covers the magnetic element. The cover has a thickness of between 0.1 mm and 0.55 mm and is formed of a material having a thermal conductivity of at least 50 W/mK.
    Type: Grant
    Filed: September 17, 2015
    Date of Patent: June 18, 2019
    Assignee: The Procter & Gamble Company
    Inventors: Lucas Boalem Nanini-Maury, Jeffrey David Edwards
  • Patent number: 10315180
    Abstract: There is provided a method of producing a localized concentration of energy. The method includes creating at least one shockwave propagating through a non-gaseous medium so as to be incident upon a pocket of gas suspended within the medium. The pocket of gas is spaced from a surface shaped so as, at least partially, to reflect said shockwave in such a way as to direct it onto said gas pocket.
    Type: Grant
    Filed: July 1, 2016
    Date of Patent: June 11, 2019
    Assignee: OXFORD UNIVERSITY INNOVATION LIMITED
    Inventors: Yiannis Ventikos, Nicholas Hawker
  • Patent number: 10312114
    Abstract: This substrate processing method includes supplying a chemical liquid to an upper surface of a substrate and rinsing away the chemical liquid adhering to the upper surface of the substrate by holding a puddled rinse liquid on the substrate while maintaining a rotation speed of the substrate at a zero or low speed, and a chemical liquid puddle step of holding a liquid film of a puddled chemical liquid on the upper surface of the substrate while maintaining the rotation speed of the substrate at a zero or low speed, and the rinsing step is performed subsequent to finishing the chemical liquid puddle step, and the rinsing step includes supplying a rinse liquid to the upper surface of the substrate and then replacing the liquid film of the chemical liquid held on the upper surface of the substrate with the rinse liquid.
    Type: Grant
    Filed: October 8, 2014
    Date of Patent: June 4, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Asuka Yoshizumi, Ayumi Higuchi
  • Patent number: 10286424
    Abstract: A cleaning system includes a first container. The first container includes an interior surface and an opening. The interior surface and the opening define a volume. The opening extends along a plane. The interior surface defines at least a portion of a curved surface. The curved surface defines a first focus point. The first focus point is positioned below the plane such that the first focus point is coincident with a portion of the curved surface. The cleaning system further includes a support member and a surgical instrument. The surgical instrument is configured to deliver ultrasonic energy upon activation of the instrument. The surgical instrument comprises an end effector having a distal tip. The support member is configured to support the surgical instrument such that the distal tip is positioned substantially at the first focus point.
    Type: Grant
    Filed: April 26, 2016
    Date of Patent: May 14, 2019
    Assignee: Ethicon LLC
    Inventors: Foster B. Stulen, Eitan T. Wiener
  • Patent number: 10286425
    Abstract: After hydrophobization of surfaces of patterns, a liquid film of pure water or the like is formed on the surfaces of the substrate. At this stage, the liquid of the liquid film cannot be present between the patterns because of hydrophobization, and gas is present there. With the front surface of the substrate covered with the liquid film, a liquid to which ultrasonic waves are applied is supplied to the back surface of the substrate, whereby the back surface of the substrate is cleaned due to the cavitation collapse energy in the liquid caused by the ultrasonic waves. While collapse of cavitation occurs at the front surface of the substrate, the presence of the gas between the patterns prohibits collapse of cavitation between the patterns, the liquid film can prevent contamination while preventing collapse of the patterns, and the back surface of the substrate is cleaned favorably.
    Type: Grant
    Filed: July 28, 2016
    Date of Patent: May 14, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Yuta Sasaki, Yosuke Hanawa, Katsuhiko Miya
  • Patent number: 10286430
    Abstract: Reversibly switchable (transformable) surface layer changeable from (super)hydrophobic to (super)hydrophilic surface states are described. Methods of decontamination of surfaces exposed to contaminate are provided. The reversibly switchable properties of the surface layer can be controlled by an external stimulus.
    Type: Grant
    Filed: January 17, 2017
    Date of Patent: May 14, 2019
    Assignee: The Boeing Company
    Inventor: Leora Peltz
  • Patent number: 10272522
    Abstract: A liquid-jet-guided laser system can be used to generate functional slots having different depth and sidewall profiles by applying active control of laser beam parameters. Blinds slots can be processed onto a workpiece, such as a tire mold or a turbine vane, for an insertion of a sipe or a sealing element, respectively. Through slots can also be processed onto a workpiece, such as a turbine element for cooling during operation or a semiconductor wafer for singulation purpose. The processing of the workpiece can include a two-step procedure, wherein the first step comprises a pre-cut. The pre-cut cuts a contour outline of a slot onto a workpiece corresponding to an element that is to be inserted into the slot. The second step comprises a removal cut to remove excess workpiece material in between the contour outline. The liquid-jet-guided laser system can employ multiple-wavelength processing of a multiple-material workpiece.
    Type: Grant
    Filed: December 13, 2014
    Date of Patent: April 30, 2019
    Assignee: Avonisys AG
    Inventors: Jens Guenter Gaebelein, Jeroen Hribar
  • Patent number: 10268119
    Abstract: An extreme ultraviolet light generating device may include a chamber, an EUV light focusing mirror provided therein, including a reflection surface having a concave curved shape and an outer peripheral portion around an outer edge of the reflection surface, and configured to focus EUV light radiated from plasma generated when a target is irradiated with laser light, a gas supplying device including peripheral heads provided on or along the outer peripheral portion; and a discharge device including a discharge path forming a discharge port near the outer peripheral portion, and configured to discharge an ion or a particle from the discharge port. The peripheral heads each may blow out a gas flow from the outer peripheral portion or a vicinity thereof along the reflection surface, and allow gas flows to join on the reflection surface to thereby form a gas flow along the reflection surface toward the discharge port.
    Type: Grant
    Filed: April 4, 2018
    Date of Patent: April 23, 2019
    Assignee: Gigaphoton Inc.
    Inventors: Shinji Nagai, Atsushi Ueda, Takashi Saito
  • Patent number: 10258931
    Abstract: The present invention discloses a polyvinylidene fluoride hollow fiber membrane and a preparation method thereof. The hollow fiber membrane comprises 30%-50% of polyvinylidene fluoride resin, 40%-60% of inorganic molecular solution in-situ pore-forming agent and 5%-20% of organic diluent.
    Type: Grant
    Filed: July 20, 2017
    Date of Patent: April 16, 2019
    Assignee: UNITED ENRIRONTECH (XIAMEN) CO., LTD.
    Inventors: Jianchun Hong, Songhua Huang, Xueping Ling, Shiwei Wu
  • Patent number: 10256069
    Abstract: Processes and systems for carbon ion implantation include utilizing phosphorous trifluoride (PF3) as a co-gas with carbon oxide gas, and in some embodiments, in combination with the lanthanated tungsten alloy ion source components advantageously results in minimal oxidation of the cathode and cathode shield. Moreover, acceptable levels of carbon deposits on the arc chamber internal components have been observed as well as marked reductions in the halogen cycle, i.e., WFx formation.
    Type: Grant
    Filed: November 9, 2017
    Date of Patent: April 9, 2019
    Assignee: AXCELIS TECHNOLOGIES, INC.
    Inventors: Neil Colvin, Tseh-Jen Hsieh
  • Patent number: 10254774
    Abstract: A temperature control method is provided for controlling a plasma processing apparatus that is capable of changing a temperature setting for each step of a plasma process including multiple steps. The method includes a transfer step of performing an entry process for transferring a workpiece into a processing chamber of the plasma processing apparatus and/or an exit process for transferring the workpiece out of the processing chamber, a process execution step of executing the plasma process including multiple steps, and a temperature control step of performing a first temperature control and/or a second temperature control. The first temperature control includes controlling a temperature to a temperature setting of a next process according to a time execution of the plasma process is completed, and the second temperature control includes controlling the temperature to the temperature setting of the next process in parallel with the entry process and/or the exit process.
    Type: Grant
    Filed: October 30, 2012
    Date of Patent: April 9, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Tatsuya Miura, Wataru Ozawa, Kimihiro Fukasawa, Kazunori Kazama
  • Patent number: 10253412
    Abstract: A deposition apparatus for processing substrates includes a vacuum chamber including a processing zone in which a substrate may be processed. First and second gas sources are in fluid communication with the vacuum chamber. The first gas source is operable to supply a first gas into the vacuum chamber and the second gas source is operable to supply a second gas into the vacuum chamber. A showerhead assembly includes a face plate and back plate. The back plate includes a first gas inlet in fluid communication with the first gas source and a second gas inlet in fluid communication with the second gas source. The face plate includes a lower wall and an outer wall extending vertically upwardly from an outer periphery of the lower wall. The outer wall is sealed to an outer periphery of the back plate such that an inner plenum and an edge plenum are formed between the face plate and the back plate.
    Type: Grant
    Filed: May 22, 2015
    Date of Patent: April 9, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Timothy Scott Thomas, Karl Leeser
  • Patent number: 10256159
    Abstract: A method is presented for forming a semiconductor structure. The method includes forming a silicon (Si) channel for a first device, forming a first interfacial layer over the Si channel, forming a silicon-germanium (SiGe) channel for a second device, forming a second interfacial layer over the SiGe channel, and selectively removing germanium oxide (GeOX) from the second interfacial layer by applying a combination of hydrogen (H2) and hydrogen chloride (HCl). The second interfacial is silicon germanium oxide (SiGeOX) and removal of the GeOX results in formation of a pure silicon dioxide (SiO2) layer.
    Type: Grant
    Filed: January 23, 2017
    Date of Patent: April 9, 2019
    Assignee: International Business Machines Corporation
    Inventors: Ruqiang Bao, Hemanth Jagannathan, ChoongHyun Lee, Shogo Mochizuki
  • Patent number: 10246781
    Abstract: A method for removing a metallic deposit disposed on a surface in a chamber, including the following steps: a) a step of oxidizing the metallic deposit; b) a step of injecting chemical species adapted to volatilized the oxidized metallic deposit, the step b) being implemented during at least a part of step a); and in step b), the chemical species are injected according to a sequence of pulses.
    Type: Grant
    Filed: July 24, 2015
    Date of Patent: April 2, 2019
    Inventors: Julien Vitiello, Jean-Luc Delcarri, Fabien Piallat
  • Patent number: 10245333
    Abstract: A plasma generating apparatus according to embodiments of the inventive concept, which provides plasma to a biological material, includes a housing configured to provide an inner space in which plasma is generated, a ground electrode coupled to one side of the housing, a power electrode coupled to the other side of the housing, and a controller configured to control a generation mode of the plasma. The generation mode includes a first mode in which the plasma is provided to the biological material while generating the plasma and a second mode in which the plasma is generated in the housing, and then the generated plasma is provided to the biological material.
    Type: Grant
    Filed: August 19, 2016
    Date of Patent: April 2, 2019
    Assignee: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventors: Han Young Yu, Yark Yeon Kim, Won Ick Jang, Yong Sun Yoon, Bong Kuk Lee
  • Patent number: 10232329
    Abstract: A generating method for generating one of mist and fine-bubbles or fine-bubbles is provided. The generating method includes arranging a piezoelectric substrate equipped thereon with an excitation source in a liquid, generating a flow of the liquid using a liquid flow generator that generates the flow of the liquid relative to the piezoelectric substrate, exciting a surface acoustic wave on the excitation source, propagating the excited surface acoustic wave so as to generate mist on a gas side and to generate fine-bubbles on a liquid side of the piezoelectric substrate, carrying the generated fine-bubbles away from the piezoelectric substrate with the generated flow of the liquid, and drawing the liquid containing the fine-bubbles from a liquid container which contains the liquid.
    Type: Grant
    Filed: January 20, 2017
    Date of Patent: March 19, 2019
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Masanori Okano, Shigeki Fujiwara, Youhei Ishigami
  • Patent number: 10215729
    Abstract: The proposed invention provides a method of monitoring the dry cleaning progress of a transcutaneous sensor having an electrode for measuring pCO2 and an electrode for measuring pO2, both electrodes opening into a measuring surface of the sensor. The method comprises receiving an AC signal from the pCO2 electrode, initiating a cleaning of the sensor, and monitoring the cleaning progress by analyzing AC signals subsequently received from the pCO2 electrode.
    Type: Grant
    Filed: September 6, 2016
    Date of Patent: February 26, 2019
    Assignee: Radiometer Basel AG
    Inventors: Florian Krayss, Hansruedi Vogt, Dominik Liechty, Christian Bochud
  • Patent number: 10199202
    Abstract: Provided are a plasma irradiation apparatus and plasma irradiation method capable of converting a silica precursor to a high quality silica film in a short time without thermal effects on the object being processed. This plasma irradiation apparatus 1 is provided with a plasma-generating unit 12 and an irradiation unit 80 for irradiating the plasma generated by the plasma-generating unit 12 on an object to be processed, and is characterized in that irradiation unit 80 comprises a coating part 85 capable of coating a liquid on the object being processed.
    Type: Grant
    Filed: April 9, 2015
    Date of Patent: February 5, 2019
    Assignee: Oral 28 Inc.
    Inventors: Toyohiko Shindo, Yumino Genba, Masuji Yamaguchi
  • Patent number: 10186436
    Abstract: Provided are a substrate processing system and a substrate processing method that can obtain expected etching rate and selection ratio, and perform stable processing. A substrate (11) is soaked in processing liquid (12) stored in a processing bath (14). The processing bath (14) is sealed by cover members (21a and 21b), and the inside is pressurized by water vapor from the heated processing liquid (12). Pure water is consecutively added to the processing liquid (12). Internal pressure of the processing bath (14) is measured, a degree of opening of an exhaust valve (41) is increased or decreased based on the internal pressure (Pa), the internal pressure is kept at constant, a water addition amount of the pure water is increased or decreased based on the internal pressure (Pa), and the substrate (11) is processed using the processing liquid (12) at constant concentration and constant temperature.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: January 22, 2019
    Assignee: J.E.T. CO., LTD.
    Inventors: Hirofumi Shomori, Atsuo Kimura
  • Patent number: 10181559
    Abstract: There is provided an workpiece etching method executed in manufacturing a magneto-resistive effect element, the workpiece including first and second multilayer films, the first multilayer film including first and second magnetic layers and a tunnel barrier layer formed between the first and second magnetic layers, and the second multilayer film being a multilayer film constituting a pinning layer in the magneto-resistive effect element. The method includes: etching the first multilayer film; generating plasma of a first gas including hydrocarbon and noble gases inside a chamber of a plasma processing apparatus to etch the second multilayer film inside the chamber; and generating plasma of a second gas including gas containing carbon and oxygen, an oxygen gas and a noble gas and not containing hydrogen inside the chamber to remove a carbon-containing deposit formed on the workpiece in the generating the plasma of the first gas.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: January 15, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takuya Kubo, Song yun Kang
  • Patent number: 10180075
    Abstract: Technologies for engine fluid quality monitoring are disclosed herein. An engine system includes a gas turbine engine having one or more mechanical components, a fluid quality sensing system, and an engine controller. Each mechanical component includes a tracer material embedded in the mechanical component during manufacture. The fluid quality sensing system applies a laser beam to debris captured in an engine fluid of the gas turbine engine and detects a light signature generated by tracer material in the debris in response to application of the laser beam. The engine controller identifies the tracer material as a function of the light signature. The engine controller determines a potential wear state of a mechanical component as a function of the tracer material. The engine controller may select the mechanical component from multiple mechanical components based on the tracer material. Each mechanical component has a predetermined association with a corresponding tracer material.
    Type: Grant
    Filed: August 25, 2017
    Date of Patent: January 15, 2019
    Assignee: Rolls-Royce Corporation
    Inventors: Dawn K. Andrus, Michael T. Elliott, John Costello, Anthony Fulford, John Gebhard
  • Patent number: 10167552
    Abstract: An apparatus for processing wafer-shaped articles comprises a spin chuck for holding a wafer-shaped article in a predetermined orientation, and a rotating shower head for supplying process gas to a surface of a wafer-shaped article when held by the spin chuck. The rotating shower head comprises an outlet plate having plural openings formed in each of a central and a peripheral region thereof. A process gas feed is provided so as to supply process gas to a gas distribution chamber. The gas distribution chamber is in fluid communication with a plurality of openings formed in the shower head.
    Type: Grant
    Filed: February 5, 2015
    Date of Patent: January 1, 2019
    Assignee: LAM RESEARCH AG
    Inventors: Andreas Gleissner, Markus Junk, Bhaskar Bandarapu
  • Patent number: 10170286
    Abstract: An ion source assembly and method is provided for improving ion implantation performance. The ion source assembly has an ion source chamber and a source gas supply provides a molecular carbon source gas such as toluene to the ion source chamber. A source gas flow controller controls a flow of the molecular carbon source gas to the ion source chamber. An excitation source excites the molecular carbon source gas, forming carbon ions and atomic carbon. An extraction electrode extracts the carbon ions from the ion source chamber, forming an ion beam. A hydrogen peroxide co-gas supply provides a predetermined concentration of hydrogen peroxide co-gas to the ion source chamber, and a hydrogen peroxide co-gas flow controller controls a flow of the hydrogen peroxide gas to the ion source chamber. The hydrogen peroxide co-gas decomposes within the ion source chamber and reacts with the atomic carbon from the molecular carbon source gas in the ion source chamber, forming hydrocarbons within the ion source chamber.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: January 1, 2019
    Assignee: Axcelis Technologies, Inc.
    Inventors: Neil K. Colvin, Tseh-Jen Hsieh
  • Patent number: 10168627
    Abstract: An exposure apparatus and manufacturing methods using the exposure apparatus are disclosed. An exposure apparatus includes a light source system generating light, an optical system controlling and patterning the light, a substrate system on which an exposure process is performed on a substrate by the patterned light, and a control unit controlling the light source system, the optical system and the substrate system. The optical system includes a chamber, a reflection member disposed in the chamber to control the light, and a first on-off valve installed on one side of the chamber opposite to the substrate system. The control unit controls the optical system such that the first on-off valve is opened during the exposure process and is closed during a cleaning process performed to the inside of the chamber.
    Type: Grant
    Filed: April 22, 2016
    Date of Patent: January 1, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sungjoo Kim, Yun kyeong Jang, Jinhong Park, Dohyun Seo, HyunHoon Lee
  • Patent number: 10160015
    Abstract: An apparatus for removing at least one foreign substance includes a detection unit detecting the at least one foreign substance adhered to a holding surface of a suction holding unit configured to suck and hold a substrate, a removal unit removing the at least one foreign substance adhered to the holding surface using fluid, and a movement mechanism configured to move the detection unit and the removal unit.
    Type: Grant
    Filed: April 4, 2016
    Date of Patent: December 25, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Osamu Hirakawa, Yoshitaka Otsuka
  • Patent number: 10156784
    Abstract: A method includes directing an acoustically agitated fluid stream at a first surface of a substrate to cause the substrate to vibrate mechanically thereby dislodging contaminant particles on the substrate. The first surface of the substrate is opposite a second surface of the substrate. The second surface of the substrate includes a pattern. An amplitude of the acoustically agitated fluid stream is configured to produce an acoustic response along an entirety of the second surface.
    Type: Grant
    Filed: February 5, 2018
    Date of Patent: December 18, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ching-Wei Shen, Chi-Lun Lu, Kuan-Wen Lin