Including Application Of Electrical Radiant Or Wave Energy To Work Patents (Class 134/1)
  • Patent number: 9159623
    Abstract: After performing a dividing step to divide a wafer into individual chips, an irradiation step is performed to apply ultraviolet radiation or plasma to the mount side of each chip, thereby generating ozone and active oxygen, which functions to remove organic matter sticking to the mount side of each chip. Accordingly, it is possible to remove from the mount side of each chip not only foreign matter sticking to the wafer during handling the wafer, but also foreign matter generated in dividing the wafer, so that faulty mounting of each chip can be reduced.
    Type: Grant
    Filed: October 7, 2014
    Date of Patent: October 13, 2015
    Assignee: Disco Corporation
    Inventors: Hirohiko Kozai, Atsushi Hattori
  • Patent number: 9144830
    Abstract: The static eliminating and dust removing apparatus comprises a big container and a small container disposed within the big container. The big container opens at the top and bottom ends to suck the dust in upwardly and discharge the dust. The small container is of hollow cylindrical or truncated conical structure and of a construction that the cyclone and tornado are generated within the small container. Furthermore, the static eliminating and dust removing apparatus has an ion generator disposed in the small container for generating ions which is injected or introduced in the small container and a dried compressed air injection opening formed on the small container for injecting the dried compressed air into the small container to generate cyclone and tornado within the small container.
    Type: Grant
    Filed: September 19, 2013
    Date of Patent: September 29, 2015
    Assignee: TRINC Corporation
    Inventor: Makoto Takayanagi
  • Patent number: 9142393
    Abstract: A method for cleaning a reaction chamber is conducted after depositing an oxide, nitride, or oxynitride film on a substrate in a reaction chamber having interior surfaces on which oxide, nitride, or oxynitride is accumulated as a result of the deposition, said oxide, nitride, or oxynitride being selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, metal oxide, metal nitride, and metal oxynitride. The method includes: oxidizing or nitriding the oxide, nitride, or oxynitride is accumulated on the interior surfaces of the reaction chamber, by RF-excited plasma of an oxygen- or nitrogen-containing gas in the absence of halide gas as a pre-cleaning step; and cleaning the interior surfaces of the reaction chamber, by RF-excited plasma of a halide cleaning gas.
    Type: Grant
    Filed: May 23, 2013
    Date of Patent: September 22, 2015
    Assignee: ASM IP Holding B.V.
    Inventors: Tatsuhiro Okabe, Atsuki Fukazawa
  • Patent number: 9138981
    Abstract: The invention relates to a material composition, which may be a support material, for three-dimensional (3D) inkjet printing, comprising a glycol polymer, a low molecular weight polar substance and a surface active agent. The invention further provides a method for 3D inkjet printing comprising building a 3D object in layers, wherein at least one layer comprises a model material composition and a support material composition comprising PEG and a low molecular weight polar substance, wherein the layers are solidified before deposition of subsequent layers; and wherein, after solidification, the support material composition is removed by immersion of the solidified objet with the support in a liquid composition comprising a solvent.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: September 22, 2015
    Assignee: STRATASYS LTD.
    Inventors: Shai Hirsch, Avraham Levy, Eduardo Napadensky
  • Patent number: 9117760
    Abstract: A wet chemical processing method and apparatus for use in semiconductor manufacturing and in other applications, is provided. The method and apparatus provide for energizing a processing liquid such as a cleaning or etching liquid using ultrasonic, megasonic or other energy waves or by combining the liquid with a pressurized gas to form a pressurized spray, or using both. The energized, pressurized fluid is directed to a substrate surface using a fluid delivery system and overcomes any surface tensions associated with liquids, solids, or air and enables the processing liquid to completely fill any holes such as contact holes, via holes or trenches, formed on the semiconductor substrate.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: August 25, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Yen Hsu, Shao-Yen Ku, Chun-Li Chou, Tsai-Pao Su
  • Patent number: 9114438
    Abstract: Methods of removing copper residue from interior surfaces of an etch process chamber are described. A plasma treatment using halogen-containing precursors transforms the copper residue into halogen-copper complexes. Plasma-excited inert gases are used to desorb the halogen-copper complexes. In this way, the copper residue is removed from the interior surfaces of the etch process chamber.
    Type: Grant
    Filed: August 21, 2013
    Date of Patent: August 25, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Mark Hoinkis, Chun Yan, Hiroyuki Miyazoe, Eric Joseph
  • Patent number: 9101966
    Abstract: Methods and apparatus provide for non-destructive cleaning of magnetic tape. In one embodiment, a continuing length of the magnetic tape is submersed and passed through a covered solvent bath; and each side (front and back) of the continuing length of magnetic tape is swabbed with a cotton swab subsequent to the submerging step. The cotton swabs are arranged to swab each respective side of the magnetic tape.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: August 11, 2015
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dylan J. Boday, Wayne A. McKinley, Richard A. West
  • Patent number: 9089247
    Abstract: A surface treating appliance including an electrical influence machine comprising a first non electrically conductive support structure spaced from a second non electrically conductive support structure, at least one of the support structures being arranged to move with respect to the other support structure, and at least two charge collecting points, and further comprising an air turbine for rotating at least one of the support structures.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: July 28, 2015
    Assignee: Dyson Technology Limited
    Inventor: Robert Lawrence Tweedie
  • Patent number: 9070631
    Abstract: In certain embodiments the metal liftoff tool comprises an immersion tank for receiving a wafer cassette with wafers therein, the immersion tank including an inner weir, a lifting and lowering mechanism capable of raising and lowering the wafer cassette while submerged in fluid in the immersion tank, low pressure high velocity primary spray jets for stripping the metal, the primary spray jets positioned at opposing sides of the immersion tank parallel to the wafer surfaces planes, and secondary spray jets for pressure equalization force, positioned at the bottom of the immersion tank. A wafer lift insert is positioned at the bottom of the immersion tank to receive and periodically lift the wafers within the cassette.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: June 30, 2015
    Assignee: MEI LLC
    Inventor: Scott Tice
  • Patent number: 9050448
    Abstract: The present invention describes a method of using an ultrasonic bath to enhance tissue perfusion, enhance the development of collateral blood vessels and/or enhance collateral circulation in an extremity in need thereof. Also described is an ultrasonic bath adapted to hold media and to permit the immersion of an extremity and comprising one or more ultrasonic transducers to generate and transmit ultrasonic energy to the extremity or the inventive method.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: June 9, 2015
    Assignees: Cedars-Sinai Medical Center, Board of Regents of the University of Texas System
    Inventors: Robert J. Siegel, Yochai Birnbaum, Huai Luo
  • Publication number: 20150144153
    Abstract: The present invention provides an ultraviolet light based cleansing method and cleansing device. The method includes: (1) irradiating a substrate to be cleansed with ultraviolet light and controlling output energy of the ultraviolet light in order to control photon energy received by TFT component patterns formed on the substrate to be cleansed within an irradiation time period to be less than electron excitation energy that breaks down TFT component patterns; (2) cleansing the substrate to be cleansed with an alkaline solution; (3) cleansing the substrate to be cleansed with water/gas dual-fluid; (4) cleansing the substrate to be cleansed with deionized water; (5) drying the substrate to be cleansed with an air knife; and (6) subjecting the substrate to be cleansed to dehydration and drying to complete the cleansing operation, thereby improving product yield rate and cleanness.
    Type: Application
    Filed: August 29, 2013
    Publication date: May 28, 2015
    Inventors: Jiangbo Yao, Chunliang Lee
  • Patent number: 9040473
    Abstract: A detergent for cleaning media is provided. The detergent comprises deionized water, between about 1% and about 5% by weight of a nonionic surfactant having an hydrophile/lipophile balance (HLB) value between about 10 and about 20, and an ethoxylation level between about 5 and about 20, between about 1% and about 5% by weight of a dispersing agent, between about 3% and about 10% by weight of a chelating agent comprising phosphonic acid, and between about 2% and about 6% by weight of an inorganic salt.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: May 26, 2015
    Assignee: WD Media, LLC
    Inventors: EE Boon Quah, Kwai Cheang Wong, Ming Yean Liew, Chung Lieh Chua, Yasuhiro Suzuki
  • Publication number: 20150136170
    Abstract: A method for removing an adhesive agent from a base plate with a plurality of accessories installed adjacent to the adhesive agent includes certain steps. The steps form a protection layer on the base plate, and the protection layer completely covers the electronic members and completely or partially covers the adhesive agent. The protection layer is solidified and a part of the protection layer is removed to expose the adhesive agent. The base plate is soaked in a removing solution to soften the adhesive agent and decrease an adhering strength of the adhesive agent, the protection layer being resistant to the removing solution to protect the electronic members. The softened adhesive agent is scraped off and the remaining protection layer removed.
    Type: Application
    Filed: October 22, 2014
    Publication date: May 21, 2015
    Inventors: MING-JEN CHANG, JHIH-KUEI GE, CHANG-CHIN WU
  • Publication number: 20150136173
    Abstract: The cleaning process of cleaning an imprinting mold including a release layer coupled via siloxane bonds to a substrate of that release layer includes a first cleaning step and a second cleaning step. In the first cleaning step, the angle of contact of the surface of the release layer with water is made small, and in the second cleaning step, the alkali cleaning agent is brought in contact with the release layer that has gone through the first cleaning step.
    Type: Application
    Filed: December 15, 2014
    Publication date: May 21, 2015
    Inventors: Noriko YAMADA, Akiko AMANO
  • Publication number: 20150128989
    Abstract: A rotary roller surface cleaning method and a rotary roller surface cleaning apparatus which, when foreign matter is detected on a surface of a rotary roller of a quenched ribbon manufacturing apparatus, remove the foreign matter by irradiating the foreign matter with a laser having an output value corresponding to a thickness of the foreign matter. At least one of a rotation speed of the rotary roller and a laser response time is adjusted such that the rotation speed of the rotary roller and the laser response time satisfy a relational expression V×S?D/1000 (D?0.1 mm), where the rotation speed of the rotary roller is V (m/sec), the laser response time is S (sec), and a length of the foreign matter along a circumferential direction of the rotary roller is D (mm).
    Type: Application
    Filed: November 10, 2014
    Publication date: May 14, 2015
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Daisuke SAKUMA, Noriyuki UENO
  • Publication number: 20150128991
    Abstract: Methods for cleaning substrates are described including cleaning substrates having hardmask masks and polymer films, such part of semiconductor fabrication. Cleaning methods include ultraviolet (UV) light exposure of process gas mixtures and liquid cleaning chemistries. A substrate and/or process fluids are exposed to ultraviolet radiation. A process gas mixture being irradiated can include an oxidizing gas mixture (air, clean dry air, oxygen, peroxygen, etc.). Reducing gas mixtures, having hydrogen, can also be irradiated. Reactive species from irradiated gas mixtures are exposed to the substrate to chemically modify film properties, such as by facilitating a subsequent liquid cleaning step. Liquid cleaning chemistries on a substrate surface can also be irradiated. Such cleaning techniques enable shorter cleaning times, lower processing temperatures, and reduced damage to underlying or intermediate layers such as dielectric layers.
    Type: Application
    Filed: November 10, 2014
    Publication date: May 14, 2015
    Inventors: Ian J. Brown, Junjun Liu
  • Publication number: 20150128990
    Abstract: Systems and methods for cleaning a substrate include a combined treatment of hydrogen peroxide and ultraviolet (UV) irradiation. Specific embodiments include the direct irradiation with 185/254 nm UV of a spinning substrate immersed under a liquid film of dilute hydrogen peroxide solution. Such a cleaning treatment can result in about a 100% improvement of TiN strip rate compared to processing with the same hydrogen peroxide solution without UV exposure. Such method can also be executed at room temperature and still provide improved cleaning efficiency.
    Type: Application
    Filed: November 10, 2014
    Publication date: May 14, 2015
    Inventor: Ian J. Brown
  • Patent number: 9028615
    Abstract: A domestic appliance includes at least one component having a surface that can become laden with organic dirt. The surface includes a photocatalyst and is made from a primary-formed first material in which the photocatalyst is dispersed. A photoradiation source is provided for irradiating the photocatalyst with an activating electromagnetic radiation.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: May 12, 2015
    Assignee: BSH Bosch und Siemens Hausgeraete GmbH
    Inventors: Hans Eglmeier, Andreas Hanau, Hartmut Schaub, Ingo Schulze
  • Patent number: 9024233
    Abstract: Methods for cleaning a side edge of a thin film photovoltaic substrate utilizing a laser are provided. The method can include transporting the substrate in a machine direction to move the substrate past a first laser source, and focusing a first laser beam generated by the first laser source onto the side edge of the substrate such that the laser beam removes the thin film present on the side edge of the substrate. An apparatus is also generally provided for cleaning a first side edge and a second side edge of a thin film photovoltaic substrate.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: May 5, 2015
    Assignee: First Solar, Inc.
    Inventor: Luke W. Jacobson
  • Patent number: 9017486
    Abstract: A method for cleaning a deposition chamber includes forming a deposited layer over an interior surface of the deposition chamber, wherein the deposited layer has a deposited layer stress and a deposited layer modulus; forming a cleaning layer over the deposited layer, wherein a material comprising the cleaning layer is selected such that the cleaning layer adheres to the deposited layer, and has a cleaning layer stress and a cleaning layer modulus, wherein the cleaning layer stress is higher than the deposited layer stress, and wherein the cleaning layer modulus is higher than the deposited layer modulus; and removing the deposited layer and the cleaning layer from the interior of the deposition chamber.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen Cheng, Zhengwen Li, Keith Kwong Hon Wong
  • Patent number: 9017487
    Abstract: A method for cleaning a deposition chamber includes forming a deposited layer over an interior surface of the deposition chamber, wherein the deposited layer has a deposited layer stress and a deposited layer modulus; forming a cleaning layer over the deposited layer, wherein a material comprising the cleaning layer is selected such that the cleaning layer adheres to the deposited layer, and has a cleaning layer stress and a cleaning layer modulus, wherein the cleaning layer stress is higher than the deposited layer stress, and wherein the cleaning layer modulus is higher than the deposited layer modulus; and removing the deposited layer and the cleaning layer from the interior of the deposition chamber.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen J. Cheng, Zhengwen Li, Keith Kwong Hon Wong
  • Publication number: 20150107617
    Abstract: A method of cleaning a photomask, the method including placing the photomask in a chamber, the photomask including a mask substrate and a reflective layer, a capping layer, and a light absorbing layer pattern stacked on the mask substrate, and wherein the photomask has contaminants thereon; supplying a gas into the chamber such that the gas does not react with the capping layer or reacts with the capping layer to form an anti-oxidant layer; ionizing the gas by irradiating an inside of the chamber with an energy beam such that the contaminants react with the ionized gas to be converted to a by-product; and removing the by-product from the chamber.
    Type: Application
    Filed: May 23, 2014
    Publication date: April 23, 2015
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-Hyeon LEE, Hwa-Sung KIM
  • Patent number: 9005366
    Abstract: The present invention discloses a cleaning process, utilizing a gas flow to an interior of a hollow object in a fluid ambient. After capping the object to seal off the interior volume, gas is introduced to the object interior. The pressure is built up within the object interior, loosening the seal. The gas pressure is released, and the seal returns. The vibration caused by the cycling of gas pressure can be used to perform cleaning of particles adhering to the object. The cleaning process can be used in a combinatorial processing system, enabling in-situ cleaning of process reactor assemblies.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: April 14, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Edwin Adhiprakasha
  • Publication number: 20150096268
    Abstract: A method of preparing a sealing surface of a container for application of a seal. A lip of the container may be heated, rinsed, and dried to establish the sealing surface. Then the seal may be applied to the sealing surface.
    Type: Application
    Filed: October 3, 2013
    Publication date: April 9, 2015
    Applicant: Owens-Brockway Glass Container Inc.
    Inventors: Brian J Brozell, Brian J Chisholm, Joseph E Olsavsky
  • Patent number: 8986460
    Abstract: Methods for cleaning a surface of a photomask and for increasing the useable lifetime of the photomask are disclosed. One method includes, a first wafer print processing using a photomask and a pellicle disposed across the photomask, and cleaning the photomask. The cleaning the photomask includes directing a laser beam through the pellicle toward the photomask, the laser beam having a wavelength that is substantially equal to a local maximum of an absorption spectrum of the photomask, heating the photomask with the laser beam, and transferring heat from the photomask to a contaminant disposed on the photomask, thereby thermally decomposing the contaminant.
    Type: Grant
    Filed: June 3, 2014
    Date of Patent: March 24, 2015
    Assignee: Rave, LLC
    Inventors: Jeffrey E. LeClaire, Kenneth G. Roessler, David Brinkley
  • Publication number: 20150075557
    Abstract: Cleaning mechanisms for optical elements are disclosed herein. Example apparatus disclosed herein to clean an optical element can include a cover positionable over a first side of the optical element. In some examples, the cover is controllable to transition between a first position and a second position. For example, the cover can form a gap between a first side of the optical element and the cover when the cover is in the first position, and the cover can provide the optical element with access to a field-of-view when the cover is in the second position. Such example apparatus can also include a flushing assembly controllable to inject cleaning fluid into the gap when the cover is in the first position. In some examples, the flushing assembly also includes a valve that is controllable to permit the cleaning fluid to exit the gap after having been injected into the gap.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 19, 2015
    Applicant: Schlumberger Technology Corporation
    Inventor: Theodorus Tjhang
  • Patent number: 8980010
    Abstract: In a piezoelectric device, a first electrode and a second electrode are disposed to be opposed to each other on plate surfaces of the piezoelectric device, a first electrode plane of the piezoelectric device is fixedly bonded to a plate surface of a vibrating plate, a piezoelectric material forming the piezoelectric device is polarized in a direction parallel to the first electrode plane, the piezoelectric device is fixed to a base through a second electrode plane of the piezoelectric device, and the piezoelectric device generates a thickness-shear vibration with the fixed second electrode plane being a reference plane. The piezoelectric vibration generated by the piezoelectric device generates a flexural vibration in the vibrating plate, to thereby remove dust adhering to a surface of the vibrating plate.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: March 17, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshihiro Ifuku, Tatsuo Furuta, Hiroshi Saito, Kenichi Takeda
  • Patent number: 8980009
    Abstract: The invention is directed to a method for at least partially removing a contamination layer (15) from an optical surface (14a) of an EUV-reflective optical element (14) by bringing a cleaning gas into contact with the contamination layer. In the method, a jet (20) of cleaning gas is directed to the contamination layer (15) for removing material from the contamination layer (15). The contamination layer (15) is monitored for generating a signal indicative of the thickness of the contamination layer (15) and the jet (20) of cleaning gas is controlled by moving the jet (20) of cleaning gas relative to the optical surface (14a) using this signal as a feedback signal. A cleaning arrangement (19 to 24) for carrying out the method is also disclosed. The invention also relates to a method for generating a jet (20) of cleaning gas and to a corresponding cleaning gas generation arrangement.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: March 17, 2015
    Assignees: Carl Zeiss SMT GmbH, ASML Netherlands B.V.
    Inventors: Dirk Heinrich Ehm, Arnold Storm, Johannes Hubertus Josephina Moors, Bastiaan Theodoor Wolschrijn, Thomas Stein, Edwin te Sligte
  • Publication number: 20150068552
    Abstract: A semiconductor structure includes a molding compound, a conductive plug, and a cover. The conductive plug is in the molding compound. The cover is over a top meeting joint between the conductive plug and the molding compound. The semiconductor structure further has a dielectric. The dielectric is on the cover and the molding compound.
    Type: Application
    Filed: September 10, 2013
    Publication date: March 12, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: PING-YIN LIU, XIN-HUA HUANG, LAN-LIN CHAO
  • Patent number: 8974602
    Abstract: The present invention discloses a method of reducing contamination in a CVD chamber. The method comprises cleaning the CVD chamber with first cleaning gases containing NF3; removing the particles in the CVD chamber with second cleaning gases containing N2; further removing the particles in the CVD chamber with third cleaning gases containing O2; and seasoning an amorphous carbon layer with mixed gases containing C2H2 and an inert gas.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: March 10, 2015
    Assignee: Shanghia Huali Microelectronics Corporation
    Inventors: Yadan Zhu, Jun Zhou
  • Publication number: 20150059801
    Abstract: The present invention relates to pet hair collectors. In some embodiments, the present disclosure relates to a material having a surface which, due to its specific manufacturing and curing process, provides a tacky surface and additionally develops an electrostatic charge during use that further serves to attract pet hair. The material preferably maintains all or most of its efficacy after repeated washing. The material is particularly adapted to removing pet hair when the material is placed in contact with (e.g., brushed against) the pet hair. In some embodiments, an existing glove made of a material such as nylon and/or elastane (Spandex), or bamboo, is coated with a material such as nitrile rubber which is partially cured to obtain the desired tack and electrostatic charging properties. In other embodiments, the pet hair collector includes a removable covering for a cleaning instrument.
    Type: Application
    Filed: November 5, 2014
    Publication date: March 5, 2015
    Applicant: Swipets, LLC
    Inventor: Donna Meté
  • Patent number: 8969285
    Abstract: A method of removing food soil using a cleaning composition including a C12 phosphate functionalized alkyl polyglucoside, a water conditioning agent and water. In one embodiment, the cleaning composition is substantially free of alkyl phenol ethoxylates. The cleaning composition is capable of removing soils including up to 20% proteins.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: March 3, 2015
    Assignee: Ecolab USA Inc.
    Inventors: Charles A. Hodge, Amanda R. Blattner
  • Patent number: 8960208
    Abstract: An ultrasonic cleaning device includes an ultrasonic transducer (13) for providing ultrasonic energy to a propagation liquid (15), an ultrasonic propagation tube (12) for flowing the propagation liquid provided with the ultrasonic energy by the ultrasonic transducer, a holding mechanism disposed below the ultrasonic propagation tube for holding an object to be cleaned (21), and a cleaning liquid supply mechanism for supplying a cleaning liquid to a cleaning surface of the object to be cleaned held by the holding mechanism. The ultrasonic propagation tube (12) is disposed so that a side surface thereof may contact a liquid film (19) of the cleaning liquid formed on the cleaning surface by supplying the cleaning liquid to the cleaning surface by the cleaning liquid supply mechanism.
    Type: Grant
    Filed: April 4, 2013
    Date of Patent: February 24, 2015
    Assignee: Kaijo Corporation
    Inventors: Kazunari Suzuki, Ki Han
  • Patent number: 8957564
    Abstract: Megasonic cleaning systems and methods of fabricating and using the same are provided. In one embodiment, the system comprises a plurality of Micro-Electromechanical System (MEMS) transducers, each transducer including a movable membrane with a membrane electrode coupled to a first potential disposed above and spaced apart from an upper surface of a die including a cavity electrode coupled to a second potential, the membrane including multiple layers including a polysilicon layer between a top silicon nitride layer and a bottom silicon nitride layer, and the membrane electrode includes the polysilicon layer; a chuck on which a target workpiece is positioned; and a fluid to couple sonic energy from the plurality of MEMS transducers to the target workpiece. Other embodiments are also provided.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: February 17, 2015
    Assignee: Silicon Light Machines Corporation
    Inventors: Toshio Hiroe, Zarem Harold, Alexander Payne, James Hunter
  • Publication number: 20150040938
    Abstract: Methods for removing and preventing the buildup of unwanted deposits and varnishes on combustion chamber surfaces, particularly injector-igniter components that are exposed to combustion events. A method of removing deposits from an injector-igniter comprises monitoring the current across a pair of electrodes in the injector-igniter, comparing the current with a predetermined threshold level, and performing a cleaning cycle if the current exceeds the threshold level. The cleaning cycle may comprise injecting oxidant through the injector-igniter and into the combustion chamber. The cleaning cycle may further comprise ionizing the oxidant with an electrical discharge having a first polarity and ionizing the oxidant a second time with an electrical discharge having a second polarity. In other cases the cleaning cycle comprises injecting hydrogen through the injector-igniter and into the combustion chamber. In still other cases the cleaning cycle may comprise injecting coolant onto the electrodes.
    Type: Application
    Filed: March 12, 2014
    Publication date: February 12, 2015
    Inventor: Roy Edward McAlister
  • Publication number: 20150040939
    Abstract: A dampening fluid useful in offset ink printing applications contains water and a surfactant whose structure can be altered. The alteration in structure aids in reducing accumulation of the surfactant on the surface of an imaging member. The surfactant can be decomposed, switched between cis-trans states, or polymerizable with ink that is subsequently placed on the surface.
    Type: Application
    Filed: October 23, 2014
    Publication date: February 12, 2015
    Inventors: Naveen CHOPRA, Peter Gordon ODELL, Steven E. READY, Eric PEETERS, Timothy D. STOWE, Ashish PATTEKAR, David K. BIEGELSEN
  • Patent number: 8945311
    Abstract: The present invention provides a method for cleansing a glass substrate of a TFT-LCD, includes the following steps: providing an ultrasonic cleansing machine, a glass substrate in-feeding conveyor, and a glass substrate out-feeding conveyor, wherein the ultrasonic cleansing machine includes a cleansing tank, a cleansing liquid contained in the cleansing tank, and first and second ultrasonic frequency generators arranged in the cleansing tank and having different frequencies; conveying a glass substrate with the glass substrate in-feeding conveyor into the cleansing tank; immersing the glass substrate in the cleansing liquid of the cleansing tank; generating ultrasonic waves of different frequencies with the first and second ultrasonic frequency generators to be applied to the cleansing liquid to effect ultrasonic cleansing of the glass substrate; and conveying the cleansed glass substrate out of the cleansing tank with the glass substrate out-feeding conveyor.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: February 3, 2015
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Hao Kou
  • Patent number: 8945310
    Abstract: A method of cleaning at least one optical component of at least one irradiation device having at least one radiation source in a vacuum chamber. The source generates in particular extreme ultraviolet and/or soft X-ray radiation whose rays are guided via the optical component onto a workpiece to be treated, during which the optical component is at least partly polluted because of an inorganic substance introduced by the radiation source. A least one reaction partner that is substantially translucent or transparent to the rays is introduced via a feeder device in dependence on the prevailing reaction conditions. The reaction partner reacts chemically with the polluting deposits so as to remove them from the optical component.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: February 3, 2015
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Peter Zink, Joseph Robert Rene Pankert, Guenther Hans Derra, Achim Weber
  • Publication number: 20150027489
    Abstract: Embodiments of mechanisms for cleaning a wafer are provided. A method for cleaning a wafer includes cleaning a wafer by using a wafer scrubber and cleaning the wafer scrubber in a scrubber cleaning module. An agitated cleaning liquid is applied on the wafer scrubber to clean the wafer scrubber. The method also includes cleaning the wafer or a second wafer by the wafer scrubber after the wafer scrubber is cleaned by the agitated cleaning liquid.
    Type: Application
    Filed: July 23, 2013
    Publication date: January 29, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tai-Liang LYU, Shao-Yen KU, Tzu-Yang CHUNG, Chia-Ming TAI, Chao-Hui KUO
  • Publication number: 20150027490
    Abstract: The present invention provides a method for cleansing a glass substrate and a device for performing the method.
    Type: Application
    Filed: July 26, 2013
    Publication date: January 29, 2015
    Applicant: Shenzhen China Star Optoelectronics Technology Co. Ltd.
    Inventor: Shihying Sun
  • Publication number: 20150020846
    Abstract: A method of use of a dusting tool for cleaning the exposed surface of a camera sensor lens in a recessed digital camera sensor chamber while avoiding contaminating contact with the side walls of the camera sensor chamber, the method comprising the steps of providing a non-scrubbing duster member having opposite one and another ends, and a tuft of bristles having electrostatic charge built up therein; engaging the duster member inside the camera sensor chamber; operatively engaging the bristles leading edge tips onto the exposed surface of the camera sensor lens; and manually sweeping the dusting tool bristles leading edge tips over the full exposed surface of the camera sensor lens including the peripheral edge portion thereof but excluding contaminating contact with the side walls of the camera sensor chamber, while the duster member remains motionless relative to the handle.
    Type: Application
    Filed: September 11, 2014
    Publication date: January 22, 2015
    Inventor: Fariborz Rahbar-Dehghan
  • Publication number: 20150020845
    Abstract: A cleaning device and method remove a lubricant from the rolls of a roll stand. To achieve economical and efficient cleaning of the rolls, the cleaning device includes at least one ultrasonic generator for generating ultrasonic waves, the ultrasonic waves being routed to the surface of the rolls by cleaning water; and a supply line for the cleaning water. The supply line is a branch of a cooling water circuit for the roll stand, enabling the water consumption for the roll stand to be kept constant during the cleaning phase. The water is merely redistributed in that a portion of the cooling water is supplied to the cleaning device. This creates the advantage of the roll temperature rising slightly, with the result that the cleaning performance is supported by the higher roll temperature at which the lubricant is better released from the surface of the roll.
    Type: Application
    Filed: December 12, 2012
    Publication date: January 22, 2015
    Inventors: Johannes Dagner, Martin Roessiger
  • Publication number: 20150013714
    Abstract: A device arranged to apply a linear tension to an intramedullary reamer for ultrasonic cleaning, and a corresponding method thereof
    Type: Application
    Filed: September 29, 2014
    Publication date: January 15, 2015
    Inventors: Catherine Campbell HENRY, Haider INAM, Ossman Steven COSSIO, Edwin LU, Claire Josephine STUMP, Courtney Marie LAWSON, Eric Michael MORAN, Narotham BADRISH, Daniel Robert MONACO
  • Patent number: 8932406
    Abstract: The molecular etcher carbonyl fluoride (COF2) or any of its variants, are provided for, according to the present invention, to increase the efficiency of etching and/or cleaning and/or removal of materials such as the unwanted film and/or deposits on the chamber walls and other components in a process chamber or substrate (collectively referred to herein as “materials”). The methods of the present invention involve igniting and sustaining a plasma, whether it is a remote or in-situ plasma, by stepwise addition of additives, such as but not limited to, a saturated, unsaturated or partially unsaturated perfluorocarbon compound (PFC) having the general formula (CyFz) and/or an oxide of carbon (COx) to a nitrogen trifluoride (NF3) plasma into a chemical deposition chamber (CVD) chamber, thereby generating COF2. The NF3 may be excited in a plasma inside the CVD chamber or in a remote plasma region upstream from the CVD chamber.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 13, 2015
    Assignee: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Ramkumar Subramanian, Carrie L. Wyse, Robert Torres, Jr.
  • Publication number: 20150007856
    Abstract: A method and an apparatus for removing or modifying a portion of a substrate surface, including contaminants thereon, using volatile methyl siloxanes (VMS) treatment fluid containing a controlled level of dissolved ozone (O3) gas and ultraviolet (UV) light is provided.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 8, 2015
    Inventor: David Jackson
  • Publication number: 20150011452
    Abstract: Described are compositions and methods for the removal of zinc-oxide eugenol-based temporary cements or root canal filling materials from a variety of surfaces including surfaces inside the oral cavity, such as teeth, as well as surfaces of objects located outside of the oral cavity, such as instruments and prostheses. In certain compositions, the resin-dentin bond between permanent cements and collagen-containing surfaces can be increased. The compositions are non-toxic, can be used inside the oral cavity, and do not require special disposal procedures.
    Type: Application
    Filed: June 10, 2014
    Publication date: January 8, 2015
    Inventors: Jason Guzman, Jeffrey Wan
  • Patent number: 8926762
    Abstract: Methods and apparatus for a movable megasonic wafer probe. A method is disclosed including positioning a movable probe on a wafer surface, the movable probe having an open bottom portion that exposes a portion of the wafer surface; applying a liquid onto the wafer surface through a bottom portion of the movable probe; and moving the movable probe at a predetermined scan speed to traverse the wafer surface, applying the liquid to the wafer surface while moving over the wafer surface. In additional embodiments the method includes providing a transducer for applying megasonic energy to the wafer surface. Apparatus embodiments are disclosed including the movable megasonic wafer probe.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: January 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Hsueh Chang Chien, Shao-Yen Ku, Ming-Hsi Yeh, Chi-Ming Yang, Chin-Hsiang Lin
  • Publication number: 20150000693
    Abstract: An on-line wash system for a compressor including: a nozzle including a flow passage for wash liquid, wherein the flow passage is configured to be coupled to a source of a wash liquid and includes a discharge outlet arranged to project the wash liquid into a stream of working fluid for the turbomachine; an electrode proximate to the flow passage of the nozzle, wherein the electrode is configured to form an electrical field sufficient to charge the wash liquid flowing through the passage and the charge applied to the wash liquid is of a first polarity, and a surface of the compressor charged with the first polarity, wherein the surface is exposed to the stream of working fluid and downstream of the nozzle.
    Type: Application
    Filed: July 1, 2013
    Publication date: January 1, 2015
    Inventors: Doug Scott BYRD, Gilbert Otto Kraemer, Hua Zhang, Jianmin Zhang, Valery Ivanovich Ponyavin
  • Patent number: 8911558
    Abstract: A post-W CMP cleaning solution consists of carboxylic acid and deionized water. The carboxylic acid may be selected from the group consisting of (1) monocarboxylic acids; (2) dicarboxylic acids; (3) tricarboxylic acids; (4) polycarboxylic acids; (5) hydroxycarboxylic acids; (6) salts of the above-described carboxylic acids; and (7) any combination thereof. The post-W CMP cleaning solution can work well without adding any other chemical additives such as surfactants, corrosion inhibitors, pH adjusting agents or chelating agents.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: December 16, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Hongqi Li, Anurag Jindal, Jin Lu
  • Patent number: 8898930
    Abstract: The aim of the invention is to provide a method for the treatment of a transport support (1) for the conveyance and storage of semiconductor substrates, with said support (1) possibly having first undergone a cleaning operation using a liquid. The method includes a treatment stage in which the transport support (1) is placed in a sealed chamber (4) connected to a vacuum pump (5) and said transport support (1) is subjected to the combined action of a subatmospheric pressure and infrared radiation to favor the removal of foreign bodies on the walls of the transport support (1). The invention also concerns a treatment station for a transport support (1) for implementation of the method.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: December 2, 2014
    Assignee: Alcatel Lucent
    Inventors: Erwan Godot, Remi Thollot, Amaud Favre