Optical Or Photoactive Patents (Class 204/192.26)
  • Patent number: 6972076
    Abstract: An alloy material, a thin film and an optical recording medium to achieve various tasks such as maintenance of a high reflectivity, improved corrosion resistance, simplified production of the alloy, and realization of stability and simplicity/easiness of a sputtering process when being used as a sputtering target. An AgPd alloy including Ag as a main component and Pd in the range of 0.5 to 4.9 atomic % is used as a thin film formation use sputtering target material, with the target material a thin film, that is a reflecting film, constituting an optical recording medium is formed and the optical recording medium containing the reflecting film as a constituent is produced.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: December 6, 2005
    Assignees: Sony Corporation, Furuyametals Co., Ltd.
    Inventors: Katsuhisa Aratani, Takashi Ueno
  • Patent number: 6939446
    Abstract: A glass article which has a water-sheeting coating and a method of applying coatings to opposed sides of a substrate are described. In one embodiment, a water-sheeting coating 20 comprising silica is sputtered directly onto an exterior surface of the glass. The exterior face of this water-sheeting coating is substantially non-porous but has an irregular surface. This water-sheeting coating causes water applied to the coated surface to sheet, making the glass article easier to clean and helping the glass stay clean longer. In one method of the invention, interior and exterior surfaces of a glass sheet are cleaned. Thereafter, the interior surface of the sheet of glass is coated with a reflective coating by sputtering, in sequence, at least one dielectric layer, at least one metal layer, and at least one dielectric layer. The exterior surface of the glass is coated with a water-sheeting coating by sputtering silica directly onto the exterior surface of the sheet of glass.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: September 6, 2005
    Assignee: Cardinal CG Company
    Inventors: Annette J. Krisko, Klaus Hartig, Roger D. O'Shaughnessy
  • Patent number: 6921465
    Abstract: A method for making a dry plating built-up film comprises providing silicon carbide as a starting source and subjecting to dry plating while changing a concentration of a reactive gas continuously or intermittently to deposit and form, on a substrate, a thin film having different refractive indices along its thickness. A method for making a sputter built-up film is also described, which comprising providing silicon carbide as a target and subjecting to sputtering while changing making electric power against the target continuously or intermittently to deposit and form a thin film having different refractive indices along its thickness.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: July 26, 2005
    Assignee: Bridgestone Corporation
    Inventors: Masato Yoshikawa, Shingo Ohno, Sho Kumagai
  • Patent number: 6916408
    Abstract: A coated article that can be used in applications such as insulating glass (IG) units, so that resulting IG units can achieve high visible transmission of at least 70% (e.g., when using clear glass substrates from 1.0 to 3.5 mm thick), combined with at least one of: (a) SHGC no greater than about 0.45, more preferably no greater than about 0.40; (b) SC no greater than about 0.49, more preferably no greater than about 0.46; (c) chemical and/or mechanical durability; (d) neutral transmissive color such that transmissive a* is from ?5.0 to 0 (more preferably from ?3.5 to ?1.5), and transmissive b* is from ?2.0 to 4.0 (more preferably from 1.0 to 3.0); and (e) neutral reflective color from the exterior of the IG unit (i.e., Rg/Rout) such that reflective a* is from ?3.0 to 2.0 (more preferably from ?2.0 to 0.5), and reflective b* is from ?5.0 to 1.0 (more preferably from ?4.0 to ?1.0).
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: July 12, 2005
    Assignees: Guardian Industries Corp., Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.)
    Inventors: Ronald E. Laird, Carole Laird, Uwe Kriltz
  • Patent number: 6911125
    Abstract: When forming an optical thin film on a surface of a bulb of a light source such as an electric lamp or a discharge lamp, a thin film whose interface/surface is less rough is formed on a base having a spheroid shape. When forming a thin film on a base 2 with a spheroid shape, which is disposed in a vacuum chamber 4 of a film-forming device and spun on its rotation axis, an interface or a surface of the thin film is made less rough and the thickness distribution of the thin film is made smaller by setting a sputtering gas pressure to be in a range from 0.04 Pa to 5.
    Type: Grant
    Filed: September 4, 2001
    Date of Patent: June 28, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Naotaka Hashimoto, Yuuji Omata
  • Patent number: 6893543
    Abstract: A method and apparatus for producing an information carrier which has at least two solid material interfaces at which information is, or may be applied and where the information is stored by local modulation of at least one characteristic of the solid material. Reflection of electromagnetic radiation at the interface depends on this characteristic. The method and apparatus applies at least one intermediate layer between the two solid material interfaces. The intermediate layer transmits the radiation and is at least predominantly made of either SixCy or SivNw, or both.
    Type: Grant
    Filed: July 28, 1999
    Date of Patent: May 17, 2005
    Assignee: Unaxis Balzers AG
    Inventor: Eduard Kügler
  • Patent number: 6878243
    Abstract: A method and apparatus for producing an optically effective system of layers on a substrate, such as a lens for use in an optical device. A plasma supported sputter deposition process is employed which, for the purpose of reducing damage to the rear side (1b) first applies a protective layer (2) to the rear side and then applies a system of layers (3) on the front side (1a) of the substrate (1). The apparatus includes an evacuable sputter chamber and a substrate holder (5) with receiving elements (6) for the substrates, and the receiving elements are mounted to permit rotation about two mutually perpendicular axes.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: April 12, 2005
    Assignee: Satis Vacuum Industries Vertriebs AG
    Inventor: Frank Breme
  • Patent number: 6860974
    Abstract: There are provided techniques of forming a back reflecting layer with constant characteristics throughout long-term film formation and forming a metal oxide film so as to be able to maintain a current of a bottom cell and thereby keep a short-circuit current Jsc of a solar cell constant over a long period of time. A sputtering method is a method of forming a stack of a metal film and a metal oxide film, comprising the step 1 of forming a metal layer on a substrate, the step 2 of bringing a surface of the metal layer into contact with active oxygen, and the step 3 of forming a metal oxide film thereon after the step 2, wherein in the step 2 an amount of active oxygen at a first substrate position is different from that at a second substrate position.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: March 1, 2005
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroshi Echizen, Yasuyoshi Takai, Akiya Nakayama
  • Patent number: 6855369
    Abstract: In a transparent laminate, n thin-film units (n=3 or 4) are laminated unit by unit successively on a surface of a substrate, and a high-refractive-index transparent thin film is deposited on a surface of the laminate of the n thin-film units, each of the n thin-film units consisting of a high-refractive-index thin film and a silver transparent conductive thin film. When the silver transparent conductive thin films are deposited by a vacuum dry process, the temperature T(K) of the transparent substrate at the time of film deposition is set to be in a range 340?T?410, whereby the transparent laminate having a standard deviation of visible light transmittance which is not larger than 5% in a wave range of from 450 to 650 nm can be produced.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: February 15, 2005
    Assignee: Nitto Denko Corporation
    Inventors: Toshitaka Nakamura, Kazuaki Sasa, Yoshihiro Hieda, Kazuhiko Miyauchi
  • Patent number: 6849165
    Abstract: A process for the deposition of transparent and conductive indium-tin oxide (ITO) films with a particularly low resistance of preferably less than 200 ??cm and a small surface roughness of preferably less than 1 nm on a substrate, wherein combined HF/DC sputtering of an indium-tin oxide (ITO) target is employed and wherein the process gas is supplemented by an argon/hydrogen mixture as reaction gas during the sputtering, as well as ITO-films with the above-named characteristics.
    Type: Grant
    Filed: May 4, 2001
    Date of Patent: February 1, 2005
    Assignee: Unaxis Deutschland GmbH
    Inventors: Andreas Klöppel, Jutta Trube
  • Patent number: 6846509
    Abstract: A system for producing Erbium Oxide thin films with increased photoluminescence. The system includes a depositing stage for forming Erbium Oxide molecules by reacting Erbium sputtered atoms with O2 in a gas phase and creating the Erbium Oxide thin film by depositing the Erbium Oxide molecules on a substrate coated with Silicon Oxide. The system further includes an annealing stage for annealing the Erbium Oxide thin films by utilizing a low temperature treatment for a specified amount of time and temperature followed by a high temperature treatment for another specified amount of time and temperature, wherein the crystallinity of the thin films has improved.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: January 25, 2005
    Assignee: Massachusetts Institute of Technology
    Inventors: Kevin M. Chen, Lionel C. Kimerling
  • Patent number: 6841045
    Abstract: A method of deposition of a phosphor in a single-source sputtering process, in which the phosphor is selected from the group consisting of ternary, quaternary or higher thioaluminate, thiogallate and thioindate phosphors, and composites thereof, synthesized with cations selected from Groups IIA and IIB of the Periodic Table of Elements. The phosphor is of a pre-determined composition of elements. The method comprising sputtering in a hydrogen sulphide atmosphere from a single source composition so as to deposit a composition on a substrate. The composition of the targets of the single source has a relative increase in concentration of elements of the phosphor that have a lower atomic weight compared to other elements in said phosphor. The relative increase is controlled such that deposition of the pre-determined composition is effected on the substrate. Preferred phosphors are barium thioaluminate (BaAl2S4:Eu), and barium magnesium thioaluminates.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: January 11, 2005
    Assignee: iFire Technology Inc.
    Inventor: Alexander Kosyachkov
  • Patent number: 6838181
    Abstract: A curved laminated automotive glazing panel (10) having a radius of curvature at at least one portion that is less than 500 mm has a glazing panel which is provided with a solar control coating layer (25) positioned at its convex internal surface (11) and in which the coating stack comprises at least two space sputtered silver containing layers initially deposited on a substantially flat sheet of glazing material which is subsequently bent to form a part of the glazing panel (10).
    Type: Grant
    Filed: August 21, 2000
    Date of Patent: January 4, 2005
    Assignee: Glaverbel
    Inventor: Etienne Degand
  • Patent number: 6838121
    Abstract: A system and method are disclosed which enable deposition parameters to be controlled in producing a metal surface to tune the localized surface plasmon resonance (LSPR) wavelength of such metal surface to a desired wavelength. For example, the surface produced may be used as an enhancement surface within a surface-enhanced spectroscopy process, wherein such surface is produced having a LSPR wavelength that provides the maximum extinction of a particular excitation light. In one embodiment, a metal is deposited onto a substrate, while controlling one or more deposition parameters to tailor the LSPR of the resulting metal surface to a desired wavelength. In one embodiment, the substrate is smooth, and does not require a mask prearranged thereon for controlling the LSPR wavelength. Rather, deposition parameters, such as temperature of the substrate, deposition rate, and film thickness may be controlled to effectively tune the LSPR wavelength of the metal surface.
    Type: Grant
    Filed: May 10, 2001
    Date of Patent: January 4, 2005
    Assignee: Zyvex Corporation
    Inventor: Wayne A. Weimer
  • Publication number: 20040253539
    Abstract: A recording layer included in an optical information recording medium of the present invention includes: a mixture of a low oxide Te—O—M (M denotes at least one element selected from the group consisting of a metallic element, a metalloid element, and a semiconductor element) or a low oxide A—O (A denotes at least one element selected from the group consisting of Sb, Sn, In, Zn, Mo and W; and a material X (X denotes at least one compound selected from the group consisting of a fluoride, a carbide, a nitride and an oxide). Herein the low oxide refers to an oxide whose composition ratio of oxygen element is smaller than a composition ratio of oxygen element according to a stoichiometric composition.
    Type: Application
    Filed: June 10, 2004
    Publication date: December 16, 2004
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Mayumi Uno, Rie Kojima, Noboru Yamada
  • Publication number: 20040238346
    Abstract: There are provided:
    Type: Application
    Filed: June 28, 2004
    Publication date: December 2, 2004
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Takeshi Hattori, Kunio Saegusa, Yuzo Shigesato
  • Patent number: 6824654
    Abstract: A cube used to perform optical functions in a system, such as beam splitting or polarizing, or both, is manufactured by optically contacting a coated prism with an uncoated prism. The coated prism includes a dielectric stack having alternating layers of high and low index of refraction materials. To ensure secure optical contacting between the coated prism and uncoated prism, low interface reflection, and good throughput, a contacting layer is deposited on the dielectric stack. The contacting layer can be fused silica or SiO2, which has natural compatibility with the CaF2 materials that make up the uncoated prism and the coating layers.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: November 30, 2004
    Assignee: ASML Holding N.V.
    Inventors: Samad M. Edlou, David H. Peterson
  • Publication number: 20040231981
    Abstract: The present invention provides an ITO sputtering target for forming a high-resistance transparent conductive film which target can be used virtually in a DC magnetron sputtering apparatus and can form a high-resistance, transparent film, and a method for producing a high-resistance transparent conductive film. The sputtering target for forming a high-resistance transparent conductive film having a resistivity of about (0.8-10)×10−3 &OHgr;cm contains indium oxide, an insulating oxide, and optionally tin oxide.
    Type: Application
    Filed: May 23, 2003
    Publication date: November 25, 2004
    Applicant: MITSUI MINING & SMELTING CO., LTD.
    Inventors: Seiichiro Takahashi, Makoto Ikeda, Hiroshi Watanabe
  • Patent number: 6808606
    Abstract: This invention relates to a method of making a window (e.g., vehicle windshield, architectural window, etc.), and the resulting window product. At least one glass substrate of the window is ion beam treated and/or milled prior to application of a coating (e.g., sputter coated coating) over the treated/milled substrate surface and/or prior to heat treatment. As a result, defects in the resulting window and/or haze may be reduced. The ion beam used in certain embodiments may be diffused. In certain embodiments, the ion beam treating and/or milling is carried out using a fluorine (F) inclusive gas(es) and/or argon/oxygen gas(es) at the ion source(s). In certain optional embodiments, F may be subimplanted into to treated/milled glass surface for the purpose of reducing Na migration to the glass surface during heat treatment or thereafter, thereby enabling corrosion and/or stains to be reduced for long periods of time.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: October 26, 2004
    Assignee: Guardian Industries Corp.
    Inventors: Scott V. Thomsen, Rudolph Hugo Petrmichl, Vijayen S. Veerasamy, Anthony V. Longobardo, Henry A. Luten, David R. Hall, Jr.
  • Patent number: 6802991
    Abstract: A CsX:Eu phosphor produced by heating a cesium halide with a Europium compound containing one or more halides selected from the group consisting of F, Cl, Br and I. Preferably the Europium compound is selected from the group consisting of EuX′2, and EuX′3 and EuX′, X′ being one or more halides selected from the group consisting of F, Cl, Br and I. The invention also includes novel phosphors having properties inherent to the manufacturing process as well as other phosphors containing a mixture of Br and Cl in the cesium halide, europium doped phosphor. A method for preparing a binderless phosphor screen using these phosphors and a method for recording and reproducing an X-ray image using such screens are also disclosed.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: October 12, 2004
    Assignees: Symyx Technologies, Inc., AGFA-Gevaert, N.V.
    Inventors: Martin Devenney, Casper Reaves, Paul Leblans, Luc Struye
  • Patent number: 6800179
    Abstract: A heat treatable coated article includes an oxidation graded layer designed so as to include an at least partially oxided anti-migration or barrier layer(s) portion provided between a solar management layer portion (e.g., NiCr layer portion) and a dielectric layer (e.g., silicon nitride). In certain example embodiments, the anti-migration or barrier layer(s) portion may include a metal oxide, and function(s) to prevent element(s) from migrating between the solar management layer and the dielectric layer upon heat treatment (HT) of the coated article. As a result, the coated article has improved color stability (and thus a lower &Dgr;E* value) upon HT. In certain example embodiments, a single target may be used to sputter the graded layer including the anti-migration layer portions and the more metallic central portion. Coated articles herein may be used in the context of insulating glass (IG) window units, other architectural windows, vehicle windows, or the like.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: October 5, 2004
    Assignee: Guardian Industries Corp.
    Inventor: Hong Wang
  • Publication number: 20040185174
    Abstract: The present invention describes a color filter fabrication method. According to the present invention, a removable mask and a substrate are placed in a vacuum evaporator. The removable mask is used to cover partially the substrate and expose the region where the first color dielectric layer forms. When finishing this evaporating process, the removable mask is rotated and moved to the next position, exposing another region where the second color dielectric layer is to be formed by the next evaporating process.
    Type: Application
    Filed: May 20, 2003
    Publication date: September 23, 2004
    Applicant: DELTA ELECTRONICS, INC.
    Inventors: Sean Chang, Chung-Ling Lu
  • Patent number: 6793782
    Abstract: The invention is a novel sputter deposition process for thin film phosphors that provide high luminance and colors required for TV applications.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: September 21, 2004
    Assignee: IFIRE Technology Inc.
    Inventor: Alexander Kosyachkov
  • Publication number: 20040180214
    Abstract: A sputter coated article is provided with improved mechanical durability (e.g., pre-HT scratch resistance) and/or thermal stability by sputtering at least one Ag inclusive layer in an atmosphere including at least O2 gas. For instance, in certain example embodiments an Ag inclusive target may be sputtered in an atmosphere including a combination of Ar and O2 gas. In certain embodiments, this enables the resulting AgOx infrared (IR) reflecting layer to better adhere to adjacent contact layer(s).
    Type: Application
    Filed: March 18, 2004
    Publication date: September 16, 2004
    Applicants: Guardian Industries Corp., Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C)
    Inventors: Ronald E. Laird, George Neuman, Philip J. Lingle, Jean-Marc Lemmer, Keith H. Schillinger
  • Publication number: 20040175511
    Abstract: A coater having a substrate cleaning device is disclosed. Also disclosed are methods of processing substrates in a coater equipped with a substrate cleaning device. The substrate cleaning device comprises an ion gun (i.e., an ion source) that is positioned beneath a path of substrate travel (e.g., beneath a substrate support) extending through the coater and that is adapted for treating a bottom major surface of a substrate. Certain embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device. In some embodiments of this nature, the upward coating apparatus is configured for depositing a photocatalytic coating upwardly onto the bottom major surface of the substrate. Certain embodiments of the invention involve a downward coating apparatus, wherein the substrate cleaning device is further along the path of substrate travel than the downward coating apparatus.
    Type: Application
    Filed: December 31, 2003
    Publication date: September 9, 2004
    Inventor: Klaus Hartig
  • Publication number: 20040149567
    Abstract: The invention is a novel sputter target and deposition method for multi-element thin film phosphors for thick film dielectric electroluminescent displays in which the deposited phosphors provide a high luminance and colors required for TV applications. The method comprises sputtering a single composite target in a low pressure sputtering atmosphere that comprises gases containing reactive species and non-reactive species. The composite target comprising a matrix phase and an inclusion phase, or two matrix phases, wherein one of the phases comprises one or more metallic elements that contribute to the composition of the phosphor and the other of the phases comprises the remaining elements that contribute to the composition of the phosphor.
    Type: Application
    Filed: December 15, 2003
    Publication date: August 5, 2004
    Inventor: Alexander Kosyachkov
  • Publication number: 20040140198
    Abstract: Disclosed is a method of forming an ITO film by optimized sequential sputter deposition of seed and bulk layers having different sputter process conditions, which is applicable to various display devices, and more particularly, to an organic light-emitting device needing an ultra-planarized surface roughness. In forming a transparent conducting electrode of a display device on a transparent substrate with an ITO film including a seed layer and a bulk layer, a method of forming the ITO film includes a first sputter deposition step of forming the ITO film on the substrate with sputtering gas supplied to an ion source at an ambience of oxygen flowing in the vicinity of the substrate and a second sputter deposition step of forming the ITO film with the sputtering gas supplied to the ion source only, wherein the first and second sputter deposition steps have different process conditions, respectively and wherein the seed and bulk layers are deposited by the first or second sputter deposition step.
    Type: Application
    Filed: January 7, 2004
    Publication date: July 22, 2004
    Inventors: Jun-Sik Cho, Young-Gun Han, Young-Whoan Beag, Seok-Keun Koh
  • Publication number: 20040137235
    Abstract: The use of a layer comprising a suboxidic indium oxide as an embedding layer above and/or below a light transmitting silver layer provides a coated glass substrate which can be toughened and/or bent. The preferred suboxidic oxide is indium tin oxide. The coating may comprise one or more silver layers. The bent or toughened coated glass are suitable for use e.g. in vehicle glazings and architectural glazings.
    Type: Application
    Filed: February 25, 2004
    Publication date: July 15, 2004
    Inventors: Thomas Paul, Axel Noethe, Dieter Mueller, Michael Rissmann, Heinz Hoelscher
  • Publication number: 20040137237
    Abstract: A heat treatable coated article including an infrared (IR) reflecting layer (e.g., of or including Ag), the coated article being able to attain a &Dgr;E* (glass side) no greater than about 3.0, more preferably no greater than 2.5, and even more preferably no greater than 2.0, following or due to heat treatment (e.g., thermal tempering). Accordingly, low-E (i.e., low emissivity) coated articles of certain embodiments of this invention appear from the glass side thereof visually similar to the naked eye both before and after heat treatment. Coated articles herein may be used in the context of insulating glass (IG) window units, vehicle windshields, or any other suitable applications. In certain embodiments of this invention, an exemplary layer stack includes: glass/Si3N4/NiCr/Ag/NiCr/Si3N4. Other materials may instead be used without departing from the scope and/or spirit of the instant invention which is a low-E matchable product.
    Type: Application
    Filed: December 24, 2003
    Publication date: July 15, 2004
    Applicant: Guardian Industries Corp.
    Inventor: Grzegorz Stachowiak
  • Publication number: 20040115559
    Abstract: An optical information recording medium, especially a CD-RW medium, that can undergo direct overwriting at high speed is disclosed. The optical information recording medium includes a transparent substrate, at least a recording layer and a reflective layer on or above the substrate and is capable of performing at least one of recording, erasing and rewriting information by irradiating and scanning with focused light to thereby form and/or erase recording marks on the recording layer.
    Type: Application
    Filed: November 4, 2003
    Publication date: June 17, 2004
    Inventors: Masaki Kato, Katsuyuki Yamada
  • Patent number: 6743465
    Abstract: This invention provides a magnetic optical member that can obtain a large magneto-optical effect using a rare-earth iron-garnet-based material and a method of producing the same.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: June 1, 2004
    Assignee: Minebea Co., Ltd.
    Inventors: Mitsuteru Inoue, Toshitaka Fujii, Akio Takayama, Atsushi Kitamura, Shigeyuki Adachi, Hideki Kato
  • Publication number: 20040099525
    Abstract: A method of forming an oxide thin film includes introducing a work function reducing agent onto a surface of a sputter target facing into a substrate in a process chamber, providing an oxygen gas and an inert gas into the process chamber, ionizing the oxygen gas and the inert gas, thereby generating a plurality of electrons, disintegrating a plurality of negatively charged ions from the sputter target, and forming the oxide thin film on the substrate from the negatively charged ions reacted with the ionized oxygen gas.
    Type: Application
    Filed: November 21, 2002
    Publication date: May 27, 2004
    Applicant: Plasmion Corporation
    Inventors: Namwoong Paik, Minho Sohn, Steven Kim
  • Patent number: 6740211
    Abstract: This invention relates to a method of making a laminated window such as a vehicle windshield. At least one of the two glass substrates of the window is ion beam milled prior to heat treatment and lamination. As a result, defects in the resulting window and/or haze may be reduced.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: May 25, 2004
    Assignee: Guardian Industries Corp.
    Inventors: Scott V. Thomsen, Rudolph Hugo Petrmichl, Anthony V. Longobardo, Vijayen S. Veerasamy, David R. Hall, Jr., Henry Luten
  • Publication number: 20040089537
    Abstract: A method and apparatus for producing an optically effective system of layers on a substrate, such as a lens for use in an optical device. A plasma supported sputter deposition process is employed which, for the purpose of reducing damage to the rear side (1b) first applies a protective layer (2) to the rear side and then applies a system of layers (3) on the front side (1a) of the substrate (1). The apparatus includes an evacuable sputter chamber and a substrate holder (5) with receiving elements (6) for the substrates, and the receiving elements are mounted to permit rotation about two mutually perpendicular axes.
    Type: Application
    Filed: November 4, 2003
    Publication date: May 13, 2004
    Applicant: Satis Vacuum Industries Vertriebs AG
    Inventor: Frank Breme
  • Patent number: 6730194
    Abstract: Method for manufacturing two disk-shaped workpieces using a sputter station includes providing a load-lock chamber and a sputtering source with a sputter surface. A transport chamber interlinks the chamber and source at an opening from the transport chamber to the load-lock chamber and at an opening from the transport chamber to the sputtering source. These openings are opposite each other. A rotatable transport arrangement in the transport chamber rotates around an axis extending between the openings and can carry only two workpieces at a time. The transport arrangement has an extendable/retractable arm arranged radially with respect to the axis. The arm is workpiece carrier to the two disk-shaped workpieces.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: May 4, 2004
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6726812
    Abstract: An ion beam sputtering apparatus comprising: a first means for generating an ion beam and directing said ion beam in a prescribed direction, a second means for supporting a target at a position where said target is capable of exposing said ion beam irradiated in said prescribed direction and of being sputtered by said ion beam, a third means for supporting an electrically conductive substrate having a semiconductor layer on which a component sputtered from said target is to be deposited, and a fourth means for making said electrically conductive substrate have a non-earth potential. A method for forming a transparent and electrically conductive film on an electrically conductive substrate having a semiconductor layer, which is based on said ion beam sputtering apparatus. A process for producing a semiconductor device by forming a transparent and electrically conductive film on a semiconductor layer for said semiconductor device, which is based on said ion beam sputtering apparatus.
    Type: Grant
    Filed: March 4, 1998
    Date of Patent: April 27, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Noboru Toyama
  • Patent number: 6726814
    Abstract: A process for producing an optical article includes laminating a first optically transparent thin layer and a second optically transparent thin layer having a higher refractive index than that of the first optically transparent thin layer on a surface of a substrate. At least one of the first and second optically transparent thin layers is deposited by sputtering using a sputtering gas comprising atoms of at least one selected from the group consisting of krypton, xenon and radon.
    Type: Grant
    Filed: January 28, 2002
    Date of Patent: April 27, 2004
    Inventors: Tadahiro Ohmi, Kazuyuki Harada, Nobuyoshi Tanaka
  • Publication number: 20040069616
    Abstract: A heat treatable coated article includes an oxidation graded layer designed so as to include an at least partially oxided anti-migration or barrier layer(s) portion provided between a solar management layer portion (e.g., NiCr layer portion) and a dielectric layer (e.g., silicon nitride). In certain example embodiments, the anti-migration or barrier layer(s) portion may include a metal oxide, and function(s) to prevent element(s) from migrating between the solar management layer and the dielectric layer upon heat treatment (HT) of the coated article. As a result, the coated article has improved color stability (and thus a lower &Dgr;E* value) upon HT. In certain example embodiments, a single target may be used to sputter the graded layer including the anti-migration layer portions and the more metallic central portion. Coated articles herein may be used in the context of insulating glass (IG) window units, other architectural windows, vehicle windows, or the like.
    Type: Application
    Filed: October 8, 2003
    Publication date: April 15, 2004
    Applicant: Guardian Industries Corp.
    Inventor: Hong Wang
  • Patent number: 6719884
    Abstract: A method of manufacturing an optical device, wherein the device body portion from which the device is to be made includes at least one Quantum Well, the method including the step of causing an impurity material including copper to intermix with the Quantum Well.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: April 13, 2004
    Assignee: Intense Photonics Limited
    Inventors: John Haig Marsh, Craig James Hamilton, Olek Peter Kowalski, Stuart Duncan McDougall, Xuefeng Liu, Bo-Cang Qui
  • Publication number: 20040053026
    Abstract: An attenuating embedded phase shift photomask blank that produces a phase shift of the transmitted light is formed with an optically translucent film made of metal, silicon, nitrogen or metal, silicon, nitrogen and oxygen. A wide range of optical transmission (0.001% up to 20% at 193 nm) is obtained by this process. A post deposition process is implemented to obtain the desired properties (stability of optical properties with respect to laser irradiation and acid treatment) for use in industry. A special fabrication process for the sputter target is implemented to lower the defects of the film.
    Type: Application
    Filed: September 8, 2003
    Publication date: March 18, 2004
    Inventors: Marie Angelopoulos, Katherina E. Babich, Cameron James Brooks, S. Jay Chey, C. Richard Guarnieri, Michael Straight Hibbs, Kenneth Christopher Racette
  • Publication number: 20040053080
    Abstract: A carbon hydrogen raw material gas and a SF6 raw material gas are introduced into a chamber, and a high frequency electric power is introduced into the chamber to discharge the raw material gas to be made plasma. At the same time, a metallic plate on a main surface of one of parallel plate electrodes is sputtered to form a compound film made of carbon, sulfide and metallic elements which are dispersed in the film matrix made of carbon and sulfide and does not consititute clusters through aggregation.
    Type: Application
    Filed: February 19, 2003
    Publication date: March 18, 2004
    Applicant: NAGOYA UNIVERSITY
    Inventors: Shinzo Morita, Masaki Matsushita
  • Publication number: 20040047281
    Abstract: An information recording medium includes a first information layer and a second information layer. The first information layer includes a first recording layer in which a reversible phase change is caused between a crystalline phase and an amorphous phase by irradiation of a laser beam or application of current. The second information layer includes a second recording layer in which a reversible phase change is caused between a crystalline phase and an amorphous phase by the irradiation of the laser beam or the application of the current. The first recording layer is made of a first material, the second recording layer is made of a second material, and the first material is different from the second material.
    Type: Application
    Filed: August 8, 2003
    Publication date: March 11, 2004
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD
    Inventors: Takashi Nishihara, Rie Kojima, Noboru Yamada
  • Publication number: 20040048074
    Abstract: A glass coated with a heat reflecting colored film, which is excellent in the uniformity of the film thickness and composition, which is free from a unfavorable whitish coloration in ceramic color printing and which is excellent in a silver print coloration, is presented. A glass coated with a heat reflecting colored film, obtained by heat treatment of a glass having a first layer containing iron oxide, chromium oxide and nickel oxide and a second layer containing cobalt oxide, laminated sequentially by a sputtering method, on one side of a glass substrate.
    Type: Application
    Filed: August 28, 2003
    Publication date: March 11, 2004
    Applicant: Asahi Glass Company, Limited
    Inventors: Takashi Sugiyama, Eiichi Ando
  • Publication number: 20040040836
    Abstract: A method for making a dry plating built-up film comprises providing silicon carbide as a starting source and subjecting to dry plating while changing a concentration of a reactive gas continuously or intermittently to deposit and form, on a substrate, a thin film having different refractive indices along its thickness. A method for making a sputter built-up film is also described, which comprising providing silicon carbide as a target and subjecting to sputtering while changing making electric power against the target continuously or intermittently to deposit and form a thin film having different refractive indices along its thickness.
    Type: Application
    Filed: August 26, 2003
    Publication date: March 4, 2004
    Applicant: BRIDGESTONE CORPORATION
    Inventors: Masato Yoshikawa, Shingo Ohno, Sho Kumagai
  • Publication number: 20040043226
    Abstract: A sputter coated article is provided with improved mechanical durability (e.g., pre-HT scratch resistance) and/or thermal stability by sputtering at least one Ag inclusive layer in an atmosphere including at least O2 gas. For instance, in certain example embodiments an Ag inclusive target may be sputtered in an atmosphere including a combination of Ar and O2 gas. In certain embodiments, this enables the resulting AgOx infrared (IR) reflecting layer to better adhere to adjacent contact layer(s).
    Type: Application
    Filed: September 16, 2002
    Publication date: March 4, 2004
    Inventors: Ronald E. Laird, George Neuman, Philip J. Lingle, Jean-Marc Lemmer, Keith H. Schillinger
  • Publication number: 20040043260
    Abstract: The invention concerns a method from cathode spray deposition of a coating with photocatalytic properties comprising titanium oxide at least partly crystallised in anastatic form on a transparent or semi-transparent support substrate, such as glass, vitroceramic, plastic. The substrate is sprayed under a pressure of at least 2 Pa. The invention also concerns the resulting coated substrate, wherein said substrate constitutes the top layer of a series of thin antiglare layers.
    Type: Application
    Filed: September 4, 2003
    Publication date: March 4, 2004
    Inventors: Nicolas Nadaud, Xavier Talpaert, Veronique Rondeau
  • Publication number: 20040040837
    Abstract: A method of fabricating a glass containing target for sputter deposition of a glass onto a substrate. The method includes synthesizing a glass from pure chemical element materials and then forming the synthesized glass into a powder, which is then used to form a glass containing target. In accordance with one aspect of the invention, the glass containing target may be used for sputter deposition of a thin coating of glass on a substrate. In exemplary embodiments, the glass is a chalcogenide glass target useful in fabricating memory devices.
    Type: Application
    Filed: August 29, 2002
    Publication date: March 4, 2004
    Inventors: Allen McTeer, Jiutao Li, Terry L. Gilton
  • Publication number: 20040037203
    Abstract: An optical recording medium which comprises a substrate and a recording layer disposed on the substrate, in which the recording layer comprises Ga and Sb, a content of the Sb is 80 atm % to 95 atm % relative to a total content of the Ga and the Sb in the recording layer, and recording and erasing are carried out by a reversible phase change between an amorphous phase and a crystalline phase in the recording layer.
    Type: Application
    Filed: June 4, 2003
    Publication date: February 26, 2004
    Inventors: Makoto Harigaya, Kazunori Ito, Hiroko Tashiro, Miku Mizutani, Michiaki Shinotsuka, Hiroyuki Iwasa, Masaru Shinkai
  • Publication number: 20040033442
    Abstract: An information recording medium includes a first information layer and a second information layer. The first information layer includes a first recording layer in which a reversible phase change is caused between a crystalline phase and an amorphous phase by irradiation of a laser beam or application of current. The second information layer includes a second recording layer in which a reversible phase change is caused between a crystalline phase and an amorphous phase by the irradiation of the laser beam or the application of the current. The first recording layer is made of a first material, the second recording layer is made of a second material, and the first material is different from the second material.
    Type: Application
    Filed: August 8, 2003
    Publication date: February 19, 2004
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Takashi Nishihara, Rie Kojima, Noboru Yamada
  • Publication number: 20040028838
    Abstract: Tunable dielectric thin films are provided which possess low dielectric losses at microwave frequencies relative to conventional dielectric thin films. The thin films include a low dielectric loss substrate, a buffer layer, and a crystalline dielectric film. Barium strontium titanate may be used as the buffer layer and the crystalline dielectric film. The buffer layer provides strain relief during annealing operations.
    Type: Application
    Filed: August 7, 2003
    Publication date: February 12, 2004
    Inventor: Wontae Chang