Optical Or Photoactive Patents (Class 204/192.26)
  • Patent number: 7641773
    Abstract: A method of producing substrates with functional layers which have high optical properties and/or a high surface smoothness, in particular a low turbidity and significantly lower roughness, is provided. The method includes a sputtering process for coating a substrate with at least one functional layer, the sputtering process being interrupted at least once by the application of an intermediate layer with a thickness of less than 20 nm.
    Type: Grant
    Filed: September 13, 2003
    Date of Patent: January 5, 2010
    Assignee: Schott AG
    Inventors: Christoph Moelle, Lars Bewig, Frank Koppe, Thomas Kuepper, Stefan Geisler, Stefan Bauer
  • Patent number: 7622161
    Abstract: A method of making a coated article (e.g., window unit), and corresponding coated article are provided. A layer of or including diamond-like carbon (DLC) is formed on a glass substrate. Then, a protective layer is formed on the substrate over the DLC inclusive layer. During heat treatment (HT), the protective layer prevents the DLC inclusive layer from significantly burning off. Thereafter, the resulting coated glass substrate may be used as desired, it having been HT and including the protective DLC inclusive layer.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: November 24, 2009
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Publication number: 20090269539
    Abstract: The present invention provides an information recording medium with high reliability and excellent repeated rewriting performance even when no interface layer is formed and a method for manufacturing the same. Accordingly, the information recording medium for carrying out recording and/or reproducing by light irradiation or electric energy application has a material layer containing at least one element selected from a group GM consisting of Sn and Ga, at least one element selected from a group GL consisting of Ta and Y, and oxygen.
    Type: Application
    Filed: March 24, 2006
    Publication date: October 29, 2009
    Inventors: Yukako Doi, Rie Kojima, Noboru Yamada
  • Patent number: 7608385
    Abstract: The information recording medium of the present invention comprises at least one of the following oxide-based material layers: (I) an oxide-based material layer containing Zr, at least one element selected from the group GL1 consisting of La, Ga and In, and oxygen (O); (II) an oxide-based material layer containing M1 (where M1 is a mixture of Zr and Hf, or Hf), at least one element selected from the group GL2 consisting of La, Ce, Al, Ga, In, Mg and Y, and O; (III) an oxide-based material layer containing at least one element selected from the group GM2 consisting of Zr and Hf, at least one element selected from the group GL2, Si, and O; and (IV) an oxide-based material layer containing at least one element selected from the group GM2, at least one element selected from the group GL2, Cr, and O. This oxide-based material layer can be used, for example, as a dielectric layer.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: October 27, 2009
    Assignee: Panasonic Corporation
    Inventors: Rie Kojima, Yukako Doi, Noboru Yamada
  • Patent number: 7604717
    Abstract: The invention relates to an essentially metallic target of a cathodic sputtering device, in particular a magnetic-field-assisted device, the said target mainly comprising nickel alloyed with at least one other minor element in order to reduce or eliminate its ferromagnetism. The invention also relates to the use of the target to manufacture an electrochromic material with anodic colourization as a thin layer based on alloyed nickel oxide.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: October 20, 2009
    Assignee: Saint-Gobain Glass France
    Inventors: Fabien Beteille, Jean-Christophe Giron, Marc Vermeersch
  • Publication number: 20090258178
    Abstract: A write-once information recording medium having a high recording sensitivity and reliability with respect to long-term storage is provided. The information recording medium has a recording layer on a substrate, to which information can be recorded and from which information can be reproduced by irradiating the recording layer with laser light or applying electrical energy to the recording layer. The recording layer contains, as its primary components, TeO2 and a material A, where the material A is a material that exhibits a eutectic reaction with TeO2.
    Type: Application
    Filed: October 26, 2007
    Publication date: October 15, 2009
    Inventors: Haruhiko Habuta, Noboru Yamada
  • Publication number: 20090252862
    Abstract: A method for producing an optical element, in which a multi-layered film is provided on a plastic substrate, having a resistance against lights in a wavelength range of 300 nm to 450 nm, is provided. The method for producing the optical element according to the invention, is an method for producing an optical element having a multi-layered film in which a layer made of a low-refractive-index material and a layer made of a high-refractive-index material are alternately formed on a plastic substrate, the optical element being used for light in a wavelength range of 300 nm to 450 nm.
    Type: Application
    Filed: February 24, 2009
    Publication date: October 8, 2009
    Inventor: Yasuaki Inoue
  • Publication number: 20090236982
    Abstract: The present invention discloses a packaging structure of organic light-emitting diode and a method for manufacturing the same. According to the present invention, an organic light emitter layer, which comprises an anode layer, an organic light-emitting layer, and a cathode layer, is provided. A first transparent passivation layer is set on the cathode layer, and has light transmittance greater than 80%. In addition, the first transparent passivation layer has an amorphous or crystalline structure for isolating oxygen and vapor. Because the first transparent passivation layer is sputtered in vacuum at room temperature, it can be applied to flexible printed circuit boards. Furthermore, a second transparent passivation layer is set under a substrate, which is under the organic light emitter layer. Alternatively, a resin layer is set on the first transparent passivation layer or under the second transparent passivation layer as the multi-layer packaging structure.
    Type: Application
    Filed: March 18, 2009
    Publication date: September 24, 2009
    Applicant: CHANG GUNG UNIVERSITY
    Inventors: KOU-CHEN LIU, YUNG-SHIL LIAO, CHIEN-JUNG TSENG
  • Patent number: 7585396
    Abstract: A coated article is provided that may be used as a vehicle windshield, insulating glass (IG) window unit, or the like. Ion beam treatment is performed on a layer(s) of the coating. For example, an overcoat layer (e.g., of silicon nitride) of a low-E coating may be ion beam treated in a manner so as to cause the ion beam treated layer to include (a) nitrogen-doped Si3N4, and/or (b) nitrogen graded silicon nitride. It has been found that this permits durability of the coated article to be improved.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: September 8, 2009
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Publication number: 20090218215
    Abstract: A laminate for forming a substrate with wires in which a silver type material is used for a conductor layer and the layer is covered with a conductive protection layer for protection, the laminate for forming a substrate with wires exhibiting an extremely low contact resistance between the conductive protection layer and a cathode superposed thereon, is presented. A laminate for forming a substrate with wires, which comprises a substrate, a conductor layer comprising silver or a silver alloy, formed on the substrate, and a conductive protection layer comprising indium zinc oxide, formed on the conductor layer to cover the conductor layer, wherein the conductive protection layer is a conductive protection layer formed by sputtering in an atmosphere wherein the oxidizing gas content in the sputtering gas is not more than 1.5 vol %.
    Type: Application
    Filed: February 25, 2009
    Publication date: September 3, 2009
    Applicants: ASAHI GLASS COMPANY LIMITED, PIONEER CORPORATION, TOHOKU PIONEER CORPORATION, ASAHI GLASS FINE TECHNO CO., LTD.
    Inventors: Kenichi NAGAYAMA, Kunihiko Shirahata, Takayuki Kitajima, Masaki Komada, Yusuke Nakajima, Takehiko Hiruma, Hitoshi Saiki
  • Publication number: 20090214889
    Abstract: A low-emissivity multilayer coating includes, in order outward from the substrate, a first layer including a layer containing titanium oxide, a layer containing silicon nitride, or a sublayer layer containing titanium oxide in combination with a sublayer containing silicon nitride; a second layer including Ag; a third layer including at least one layer selected from titanium oxide layers and silicon nitride layers; a fourth layer including Ag; and a fifth layer including silicon nitride. The color of the coatings can be varied over a wide range by controlling the thicknesses of the layers of titanium oxide, silicon nitride and Ag. A diffusion barrier of oxidized metal protects relatively thin, high electrical conductivity, pinhole free Ag films grown preferentially on zinc oxide substrates. Oxygen and/or nitrogen in the Ag films improves the thermal and mechanical stability of the Ag.
    Type: Application
    Filed: February 27, 2009
    Publication date: August 27, 2009
    Applicant: AGC Flat Glass North America, Inc.
    Inventors: Darin GLENN, Herb JOHNSON, Rand DANNENBERG, Peter A. SIECK, Joe COUNTRYWOOD
  • Patent number: 7575661
    Abstract: In a reactive sputtering apparatus, an inert-gas supplying hole is provided in a movable target unit whose one end is open and whose conductance is controlled, and a reactive gas containing at least fluorine or oxygen can be supplied to a space between the target and a substrate. The apparatus is constructed so as to emit the reactive gas toward the substrate. A reactive-gas emitting location is in the space between the target and the substrate such that a concentration of the reactive gas on the substrate surface can be maintained at a higher level. When the target is moved, a reactive-gas emitting port is moved or the reactive-gas emitting location is changed. The concentration of the reactive gas on the substrate surface can be effectively kept constant, and a high-quality optical thin film can be formed.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: August 18, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yasuyuki Suzuki, Koji Teranishi
  • Publication number: 20090197077
    Abstract: The invention relates to a substrate (10), especially a transparent glass substrate, provided with a thin-film multilayer comprising a functional layer (40) having reflection properties in the infrared and/or in solar radiation, especially a metallic functional layer based on silver or on a metal alloy containing silver, and two coatings (20, 60), said coatings being composed of a plurality of dielectric layers (24, 26; 64), so that the functional layer (40) is placed between the two coatings (20, 60), the functional layer (40) being deposited on a wetting layer (30) itself deposited directly onto a subjacent coating (20), characterized in that the subjacent coating (20) comprises at least one dielectric layer (24) based on nitride, especially on silicon nitride and/or aluminum nitride, and at least one noncrystalline smoothing layer (26) made from a mixed oxide, said smoothing layer (26) being in contact with said superjacent wetting layer (30).
    Type: Application
    Filed: March 6, 2007
    Publication date: August 6, 2009
    Applicant: SAINT-GOBAIN GLASS FRANCE
    Inventors: Pascal Reutler, Eric Petitjean, Eric Mattmann, Pierre-Alain Gillet
  • Publication number: 20090194165
    Abstract: Solar photovoltaic (PV) modules have the highest possible conversion of photons to electrons in order to optimize their sunlight-to-electricity energy conversion efficiency. The electric current and sunlight-to-electricity conversion efficiency of CdTe modules is increased by about 20% with a new module design that (1) allows more light to pass through the glass and top layers to reach the PV junction area while (2) protecting the module against manufacturability pitfalls (shorts, shunts, and weak diodes) that have previously prevented the successful development of any equivalent module.
    Type: Application
    Filed: January 31, 2008
    Publication date: August 6, 2009
    Applicant: PRIMESTAR SOLAR, INC.
    Inventors: Brian Murphy, Russell Black, Ken Zweibel, Fred Seymour, Mark Auble, Jack Little
  • Patent number: 7563347
    Abstract: A coated article is provided that may be used as a vehicle windshield, insulating glass (IG) window unit, or the like. An ion beam is used to treat an infrared (IR) reflecting layer(s) of such a coated article. Advantageously, this has been found to improve sheet resistance (Rs) properties, emittance, solar control properties, and/or durability of the coated article. In certain example embodiments, an ion source(s) and a sputtering target(s) used in forming the IR reflecting layer, or any other suitable layer, may be located in a common deposition chamber of an apparatus used in forming at least part of the coating.
    Type: Grant
    Filed: November 17, 2004
    Date of Patent: July 21, 2009
    Assignees: Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.), Guardian Industries Corp.
    Inventors: Uwe Kriltz, Jochen Butz, Gerald Jänicke, Vijayen S. Veerasamy
  • Patent number: 7556721
    Abstract: The present invention relates to novel thiosilicate phosphor compositions. The invention is further a physical vapor deposition method for the deposition of rare earth activated thiosilicate phosphor compositions comprising providing at least one or more source materials where the source materials may comprise a barium silicon alloy, an intermetallic barium silicon compound, a protected barium metal and combinations thereof; providing an activator species; and effecting deposition of the one or more source materials and activator species as a thin film phosphor composition on a selected substrate. The method allows for the deposition of blue thin film electroluminescent phosphors with high luminance and colors required for TV applications.
    Type: Grant
    Filed: October 29, 2005
    Date of Patent: July 7, 2009
    Assignee: IFIRE IP Corporation
    Inventors: Alexander Kosyachkov, Vincent Joseph Alfred Pugliese, Joe Acchione, Stephen Charles Cool
  • Patent number: 7550067
    Abstract: A coated article is provided that may be used as a vehicle windshield, insulating glass (IG) window unit, or the like. Ion beam treatment is performed on a layer(s) of the coating. For example, a silicon nitride layer of a low-E coating may be ion beam treated. It has been found that ion beam treatment, for example, of a silicon nitride underlayer is advantageous in that sodium migration from the glass substrate toward the IR reflecting layer(s) can be reduced during heat treatment.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: June 23, 2009
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Patent number: 7541070
    Abstract: The invention relates to a method for vapor-depositing strip-shaped substrates with a transparent barrier layer made of aluminum oxide by reactively vaporizing aluminum and admitting reactive gas in a strip vapor-deposition installation. The invention provides that, before coating with aluminum oxide, a partially enclosed layer made of a metal or of a metal oxide is applied to the substrate by magnetron sputtering.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: June 2, 2009
    Assignee: Fraunhofer Gesellschaft zur Foerderung der angewandten Forschung e.V.
    Inventors: Nicolas Schiller, Steffen Straach, Mathias Räbisch, Matthias Fahland, Christoph Charton
  • Patent number: 7537677
    Abstract: A ceramic target is used in sputter-depositing a contact layer adjacent an infrared (IR) reflecting layer in certain example embodiments. For example, a ZnOx ceramic target may be used in sputter-depositing a zinc oxide inclusive layer over and/or under a silver based IR reflecting layer in certain embodiments. In certain example embodiments, the ceramic target may be doped with a non-metal such as F and/or B.
    Type: Grant
    Filed: January 19, 2005
    Date of Patent: May 26, 2009
    Assignee: Guardian Industries Corp.
    Inventors: Yiwei Lu, Thomas A. Seder
  • Publication number: 20090127101
    Abstract: An apparatus and methods for plasma-based sputtering deposition using a direct current power supply is disclosed. In one embodiment, a plasma is generated by connecting a plurality of electrodes to a supply of current, and a polarity of voltage applied to each of a plurality of electrodes in the processing chamber is periodically reversed so that at least one of the electrodes sputters material on to the substrate. And an amount of power that is applied to at least one of the plurality of electrodes is modulated so as to deposit the material on the stationary substrate with a desired characteristic. In some embodiments, the substrate is statically disposed in the chamber during processing. And many embodiments utilize feedback indicative of the state of the deposition to modulate the amount of power applied to one or more electrodes.
    Type: Application
    Filed: November 16, 2007
    Publication date: May 21, 2009
    Inventors: Ken Nauman, Hendrik V. Walde, David J. Christie, Bruce Fries
  • Publication number: 20090065741
    Abstract: The invention relates to a method and apparatus for the application of material to form a layer of an organic electroluminescent device. The material is sputter deposited typically from at least one target of material held in respect to a magnetron in a coating chamber. The magnetrons used can be unbalanced magnetrons and/or are provided with other magnetrons and/or magnet arrays in a closed field configuration. The material is found to be deposited in a manner which prevents or minimises damage to the device and hence reduces or removes the need for a barrier layer to be applied.
    Type: Application
    Filed: February 16, 2006
    Publication date: March 12, 2009
    Applicants: APPLIED MULTILAYERS LIMITED, CAMBRIDGE DISPLAY TECHNOLOGY LIMITED
    Inventors: John Michael Walls, Desmond Gibson, William Young, Nalinkumar Patel, Nicoletta Anathassopoulou
  • Publication number: 20090067031
    Abstract: Electrochemical system comprising at least one substrate of organic nature, at least one electronically conductive layer and at least one active species, characterized in that it includes at least one organic layer lying between the electronically conductive layer and the substrate.
    Type: Application
    Filed: November 14, 2006
    Publication date: March 12, 2009
    Applicant: SAINT - GOBAIN GLASS FRANCE
    Inventors: Fabienne Piroux, Gregoire Mathey, Emmanuel Valentin, Samuel Dubrenat, Pierre Chaussade, Francoise Rigal
  • Patent number: 7491301
    Abstract: A method and apparatus for coating two sides of a single pane of glass or other substrate in a single pass through a coating apparatus. A sputtering line is provided, this line comprising a series of sputtering chambers. At least one of the chambers comprises a downward sputtering chamber having an upper target. At least one of the chambers comprises an upward sputtering chamber having a lower target. In some embodiments, the upper and lower targets are rotary targets. The coating apparatus advantageously has a plurality of transport rollers for conveying the substrate along the sputtering line. In certain embodiments, a majority of the chambers of the sputtering line are downward sputtering chambers each having only an upper target with no lower target.
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: February 17, 2009
    Assignee: Cardinal CG Company
    Inventors: Annette J. Krisko, Bob Bond, Roger Stanek, Gary Pfaff, Klaus Hartig
  • Publication number: 20090022996
    Abstract: Provided is a method for preparing a one-dimensional spin photonic crystal device and a one-dimensional spin photonic crystal device prepared by the same. The method comprises forming magnetic and nonmagnetic regions by the interference of laser beams generated from a femtosecond laser light source. The method of the present invention enables production of one-dimensional spin photonic crystals having excellent properties by a rapid and simple process, and is therefore suitable for high integration and large-scale production of desired devices. Further, the prepared photonic crystals exhibit excellent magneto-optical effects and are therefore applicable to development of novel optical devices, and the like.
    Type: Application
    Filed: May 1, 2008
    Publication date: January 22, 2009
    Inventors: Young-Pak Lee, Joo-Yull Rhee, Jin-Bae Kim, Geon-Joon Lee
  • Patent number: 7452488
    Abstract: The present invention is directed to a composition consisting essentially of: a) from about 60 to about 99 mole % of SnO2, and b) from about 1 to about 40 mole % of one or more materials selected from the group consisting of i) Nb2O5, ii) NbO, iii) NbO2, iv) WO2, v) a material selected consisting of a) a mixture of MoO2 and Mo and b) Mo, vi) W, vii) Ta2O5, and viii) mixtures thereof, wherein the mole % s are based on the total product and wherein the sum of components a) and b) is 100. The invention is also directed to the sintered product of such composition, a sputtering target made from the sintered product and a transparent electroconductive film made from the composition.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: November 18, 2008
    Assignee: H.C. Starck Inc.
    Inventors: Prabhat Kumar, Rong-Chein Richard Wu, Shuwei Sun
  • Patent number: 7450233
    Abstract: A measuring device includes several sequentially disposed coating chambers for measuring optical properties of coated substrates. These coating chambers are separated from one another by partitioning walls, whose free ends are located closely above the substrate. The substrate is preferably a continuous film. By measuring the reflection, the transmission, etc. of the substrate between the individual coating chambers, it becomes possible to carry out measurements within only partially completed layer systems. This yields advantages for the technical operation control of the coating process.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: November 11, 2008
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Hans-Georg Lotz, Peter Sauer, Stefan Hein, Peter Skuk
  • Patent number: 7438948
    Abstract: A method for forming a coated substrate is disclosed. The method comprises depositing an undercoating layer and depositing a functional coating comprising a material which can be present in more than one crystal structure over the undercoating layer, wherein there is a critical deposition thickness at which the functional coating transforms from a first polycrystalline film made up predominantly of the material having a first crystal structure to a second polycrystalline film made up predominantly of the same material having a second crystal structure.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: October 21, 2008
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Caroline S. Harris, Cory D. Steffek, Scott D. Walck
  • Patent number: 7431808
    Abstract: An electrically conductive titanium dioxide sputter target with an electrical resistivity of less than 5 ?-cm, which contains as an additive at least one doping agent or a mixture of doping agents in an amount of less than 5 mole %. The doping agent or agents are selected from the group including indium oxide, zinc oxide, bismuth oxide, aluminum oxide, gallium oxide, antimony oxide, and zirconium oxide. This treatment renders the titanium dioxide sputter target suitable for use in a direct-current sputtering process without any negative effects on the properties of the coating.
    Type: Grant
    Filed: August 19, 2002
    Date of Patent: October 7, 2008
    Assignee: W.C. Heraeus GmbH & Co., KG
    Inventors: Markus Schultheis, Christoph Simons, Martin Weigert
  • Publication number: 20080223434
    Abstract: The present invention provides a solar cell that is useful for industry and has high photoelectric conversion efficiency and a method of manufacturing the same. A solar cell according to an aspect of the invention includes: a substrate; a buffer layer that is formed on the substrate and is composed of a group-III nitride semiconductor; and a group-III nitride semiconductor layer (p-type layer/an n-type layer) that has a p-n junction therein and is formed on the buffer layer. At least one of the buffer layer and the group-III nitride semiconductor layer having the p-n junction therein has a compound semiconductor layer formed by a sputtering method.
    Type: Application
    Filed: February 19, 2008
    Publication date: September 18, 2008
    Applicant: SHOWA DENKO K.K.
    Inventors: Yoshiaki Ikenoue, Hisayuki Miki, Kenzo Hanawa, Yasumasa Sasaki, Hitoshi Yokouchi, Ryoko Konta, Hiroaki Kaji
  • Publication number: 20080217163
    Abstract: A manufacturing method for a far-infrared (FIR) substrate is provided. The manufacturing method includes steps of providing a substrate and sputtering a FIR emission material onto at least one surface of the substrate to form a thin film.
    Type: Application
    Filed: January 4, 2008
    Publication date: September 11, 2008
    Applicants: NATIONAL APPLIED RESEARCH LABORATORIES, TAIPEI MEDICAL UNIVERSITY
    Inventors: Yung-Sheng Lin, Han-Chang Pan, Chao-Te Lee, Ting-Kai Leung
  • Publication number: 20080213555
    Abstract: A heat-resistant, light-shielding film having high light shielding capacity, high heat resistance, high sliding characteristics, low surface gloss and high electroconductivity, and useful for optical device parts, e.g., shutter or aperture blades for digital cameras and digital video cameras, and aperture blades for adjusting light intensity for projectors; method for producing the film; and aperture and light intensity adjusting device using the film. The heat-resistant, light-shielding film comprising a heat-resistant resin film base (A) resistant to 200° C. or higher, coated, on one or both sides, with an Ni-base metallic film (B) having a thickness of 50 nm or more by sputtering and then with a low-reflectivity film (C) of Ni-base oxide also by sputtering, and having a surface roughness (arithmetic average height Ra) of 0.1 to 0.7 ?m.
    Type: Application
    Filed: November 26, 2007
    Publication date: September 4, 2008
    Applicant: Sumitomo Metal Mining Co., Ltd.
    Inventors: Katsushi Ono, Yoshiyuki Abe, Yukio Tsukakoshi
  • Patent number: 7364644
    Abstract: A method of sputter depositing silver selenide and controlling the stoichiometry and nodular defect formations of a sputter deposited silver-selenide film. The method includes depositing silver-selenide using a sputter deposition process at a pressure of about 0.3 mTorr to about 10 mTorr. In accordance with one aspect of the invention, an RF sputter deposition process may be used preferably at pressures of about 2 mTorr to about 3 mTorr. In accordance with another aspect of the invention, a pulse DC sputter deposition process may be used preferably at pressures of about 4 mTorr to about 5 mTorr.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: April 29, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Jiutao Li, Keith Hampton, Allen McTeer
  • Patent number: 7323088
    Abstract: A method of manufacturing a glazing panel comprises the steps of: a) taking a glazing panel having on one of its surfaces (i) a substantially transparent coating layer having a transformable portion, and (ii) an enamel material associated with the transformable portion of the coating layer; and b) causing an interaction between the transformable portion of the coating layer and the enamel material associated therewith by healing the glazing panel to a temperature above about 300° C. This may render a portion of the coating layer less susceptible to corrosion and/or non-conductive to electricity.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: January 29, 2008
    Assignee: Glaverbel
    Inventor: Etienne Degand
  • Patent number: 7303658
    Abstract: A glass coated with a heat reflecting colored film, which is excellent in the uniformity of the film thickness and composition, which is free from a unfavorable whitish coloration in ceramic color printing and which is excellent in a silver print coloration, is presented. A glass coated with a heat reflecting colored film, obtained by heat treatment of a glass having a first layer containing iron oxide, chromium oxide and nickel oxide and a second layer containing cobalt oxide, laminated sequentially by a sputtering method, on one side of a glass substrate.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: December 4, 2007
    Assignee: Asahi Glass Company, Limited
    Inventors: Takashi Sugiyama, Eiichi Ando
  • Patent number: 7291251
    Abstract: A method of making a coated article is provided, where the coated article may be used in a window or the like and may have at least one infrared (IR) reflecting layer in a low-E coating. The IR reflecting layer may be of silver or the like. In certain example embodiments, at least krypton (Kr) gas is used in sputter-depositing a silver inclusive IR reflecting layer. It has been found that the use of Kr gas in sputtering Ag targets results in an IR reflecting layer having improved resistance and emittance properties.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: November 6, 2007
    Assignee: Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.)
    Inventors: Jochen Butz, Uwe Kriltz, Sebastian Bobrowski
  • Patent number: 7282123
    Abstract: The invention is a novel sputter target and deposition method for multi-element thin film phosphors for thick film dielectric electroluminescent displays in which the deposited phosphors provide a high luminance and colors required for TV applications. The method comprises sputtering a single composite target in a low pressure sputtering atmosphere that comprises gases containing reactive species and non-reactive species. The composite target comprising a matrix phase and an inclusion phase, or two matrix phases, wherein one of the phases comprises one or more metallic elements that contribute to the composition of the phosphor and the other of the phases comprises the remaining elements that contribute to the composition of the phosphor.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: October 16, 2007
    Assignee: Ifire Technology Corp.
    Inventor: Alexander Kosyachkov
  • Patent number: 7282121
    Abstract: There is disclosed a manufacturing method of a phase shift mask blank in which dispersions of phase angle and transmittance among blanks can be reduced as much as possible and yield is satisfactory. In the manufacturing method of the phase shift mask blank, a process of using a sputtering method to continuously form a thin film on a transparent substrate comprises: successively subjecting a plurality of substrates to a series of process of supplying the transparent substrate into a sputtering chamber, forming the thin film for forming a pattern in the sputtering chamber, and discharging the transparent substrate with the film formed thereon from the sputtering chamber; supplying and discharging the transparent substrate substantially at a constant interval; and setting a film formation time to be constant among a plurality of blanks.
    Type: Grant
    Filed: April 9, 2004
    Date of Patent: October 16, 2007
    Assignee: Hoya Corporation
    Inventors: Osamu Nozawa, Hideaki Mitsui
  • Patent number: 7273534
    Abstract: In an optical disk substrate film-formation apparatus which prepared an optical disk by forming a thin film on a substrate, the optical disk substrate is held by a holder section. A contact support surface is provided to the holder section which closely contacts at least a portion of the surface of the optical disk substrate rear to the surface where the think film is formed.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: September 25, 2007
    Assignee: Ricoh Company, Ltd.
    Inventors: Kazunori Ito, Katsunari Hanaoka, Hiroshi Deguchi, Nobuaki Onagi, Hiroko Tashiro, Kiyoto Shibata, Yasutomo Aman, Hiroshi Miura, Wataru Ohtani, Hajime Yuzurihara, Masaru Shinkai
  • Patent number: 7267748
    Abstract: A coated article is provided, where a coating is supported by a substrate. The coating may include at least one infrared (IR) reflecting layer of or including Ag, Au or the like. The IR reflecting layer(s) may be sandwiched between first and second dielectric layers in certain example embodiments of this invention. It has been found that properties of the IR reflecting layer can be improved by reducing the distance between the substrate and the sputtering target(s) used in forming the IR reflecting layer(s).
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: September 11, 2007
    Assignee: Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A.
    Inventors: Jochen Butz, Anton Dietrich
  • Patent number: 7238262
    Abstract: A system and method of coating curved substrates and assembling devices having coated elements. The system and method are of particular utility in the manufacture of lamps having a coating formed on the hermetically sealed light emitting chamber of the lamp. The system and method includes, inter alia, an improved uniform coating process and apparatus, improved throughput in the coating process, a reduction in bad coating losses, improved baking processes, and an improved method and apparatus for aligning the filament of a halogen lamp achieved by performing the step of coating of the light emitting chamber prior to the step of sealing the chamber.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: July 3, 2007
    Assignee: Deposition Sciences, Inc.
    Inventors: Leroy A. Bartolomei, H. Robert Gray
  • Patent number: 7229533
    Abstract: A coated article is provided that may be used as a vehicle windshield, insulating glass (IG) window unit, or the like. An ion beam is used during at least part of forming an infrared (IR) reflecting layer(s) of such a coated article. Advantageously, this has been found to improve sheet resistance (Rs) properties, solar control properties, and/or durability of the coated article. Other layers may also be ion beam treated in certain example embodiments.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: June 12, 2007
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Patent number: 7226528
    Abstract: A sputter coated article is provided with improved mechanical durability (e.g., pre-HT scratch resistance) and/or thermal stability by sputtering at least one Ag inclusive layer in an atmosphere including at least O2 gas. For instance, in certain example embodiments an Ag inclusive target may be sputtered in an atmosphere including a combination of Ar and O2 gas. In certain embodiments, this enables the resulting AgOx infrared (IR) reflecting layer to better adhere to adjacent contact layer(s).
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: June 5, 2007
    Assignees: Guardian Industries Corp., Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.)
    Inventors: Ronald E. Laird, George Neuman, Philip J. Lingle, Jean-Marc Lemmer, Keith H. Schillinger
  • Patent number: 7217344
    Abstract: Substrates having films are used to produce flat panel displays and similar devices. Various embodiments of transparent conductive films and methods for the same for flat panel displays and similar devices are disclosed herein.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: May 15, 2007
    Assignee: Streaming Sales LLC
    Inventors: Yukihiko Sasaki, Xiao-Ming He
  • Patent number: 7198700
    Abstract: An alloy material, a thin film and an optical recording medium to achieve various tasks such as maintenance of a high reflectivity, improved corrosion resistance, simplified production of the alloy, and realization of stability and simplicity/easiness of a sputtering process when being used as a sputtering target. An AgPd alloy including Ag as a main component and Pd in the range of 0.5 to 4.9 atomic % is used as a thin film formation use sputtering target material, with the target material a thin film, that is a reflecting film, constituting an optical recording medium is formed and the optical recording medium containing the reflecting film as a constituent is produced.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: April 3, 2007
    Assignees: Sony Corporation, Furuyametals Co., Ltd.
    Inventors: Katsuhisa Aratani, Takashi Ueno
  • Patent number: 7198699
    Abstract: A coating apparatus deposits a first coating (single or multi-layered) onto a first side of a substrate (e.g., glass substrate) passing through the apparatus, and a second coating (single or multi-layered) onto the other or second side of the substrate. In certain example embodiments, the first coating may be deposited via sputtering while the second coating is deposited via ion beam deposition. In such a manner, it is possible to coat both sides of the substrate in a single apparatus in an efficient manner. In other embodiments, the coating apparatus may sputter a coating onto a first side of the substrate and ion beam mill at least one surface of the substrate as the substrate passes through the coating apparatus. In other embodiments of this invention, a dual mode chamber may be provided that is adapted to receive a removable ion beam module on one side of a substrate and a removable sputtering module on the other side of the substrate.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: April 3, 2007
    Assignee: Guardian Industries Corp.
    Inventors: Scott V. Thomsen, Hugh A. Walton
  • Patent number: 7135097
    Abstract: Disclosed is a box-shaped facing-targets sputtering apparatus capable of forming, at low temperature, a compound thin film of high quality while causing minimal damage to an underlying layer.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: November 14, 2006
    Assignee: FTS Corporation
    Inventors: Sadao Kadokura, Hisanao Anpuku
  • Patent number: 7052585
    Abstract: A coated article is provided which includes a layer including titanium oxycarbide. In order to form the coated article, a layer of titanium oxide is deposited on a substrate by sputtering or the like. After sputtering of the layer including titanium oxide, an ion beam source(s) is used to implant at least carbon ions into the titanium oxide. When implanting, the carbon ions have sufficient ion energy so as to knock off oxygen (O) from TiOx molecules so as to enable a substantially continuous layer comprising titanium oxycarbide to form near a surface of the previously sputtered layer.
    Type: Grant
    Filed: March 11, 2003
    Date of Patent: May 30, 2006
    Assignee: Guardian Industries Corp.
    Inventors: Vijayen S. Veerasamy, Scott V. Thomsen, Rudolph Hugo Petrmichl
  • Patent number: 7041430
    Abstract: Problem to be solved is that when an information recording medium is repeatedly subjected to recording of information several hundreds times, the atoms in a protective layer are diffused and dissolved into a recording layer to lower a reflectivity greatly and make the medium unendurable to many times of overwriting. This problem can be solved by a medium constituted of interference layer 10, interface layer 12, phase-change type recording layer 14, protective layer 13 having a tin content of from 23.3 atomic % to 32.3 atomic %, and a heat sink layer 8 successively formed on substrate 1, as seen from a light-incidence side. By use of this medium, the dissolution of atoms can be prevented, and overwriting for many times can be achieved.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: May 9, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Makoto Miyamoto, Akemi Hirotsune, Toshimichi Shintani, Takahiro Kurokawa, Keikichi Andoo, Yumiko Anzai
  • Patent number: 7008519
    Abstract: The present invention provides an ITO sputtering target for forming a high-resistance transparent conductive film which target can be used virtually in a DC magnetron sputtering apparatus and can form a high-resistance, transparent film, and a method for producing a high-resistance transparent conductive film. The sputtering target for forming a high-resistance transparent conductive film having a resistivity of about (0.8–10)×10?3 ?cm contains indium oxide, an insulating oxide, and optionally tin oxide.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: March 7, 2006
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Seiichiro Takahashi, Makoto Ikeda, Hiroshi Watanabe
  • Patent number: 6979476
    Abstract: A plurality of filter members on which filter films with good characteristics are formed in a more or less circular arc form pattern are obtained by producing a filter member in which all of an annular filter film with good characteristics is formed on the surface of a single glass substrate, and then cutting this filter member through the center of the annular shape of the filter film. A plurality of small filter member pieces 140, 140, . . . are obtained by cutting the filter members obtained by the above-mentioned division in the direction perpendicular to the chords of the circular arcs; then, the small filter member pieces are rearranged so that the filter films 120a, 120a, . . . with good characteristics on the small filter member pieces are lined up in a more or less rectilinear pattern. The desired filter chips are then obtained by cutting these rearranged small filter member pieces in the direction perpendicular to the initial cutting direction.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: December 27, 2005
    Assignee: Nikon Corporation
    Inventor: Misao Sakamoto