With Indication Of Presence Of Material Or Feature Patents (Class 250/559.4)
  • Patent number: 7408180
    Abstract: A compensation apparatus for image scan, applied to an optical scanner with a platform, on which an object to be scanned is disposed. The optical scanner has a photosensitive apparatus with a set of scan photosensitive devices and a storage apparatus. When the object is scanned by the set of scan photosensitive devices, a scanned image is obtained and saved in the storage apparatus temporarily. The compensation apparatus has a set of calibration boards, a set of calibration photosensitive devices and an image processor. The set of calibration boards has two calibration boards located at two sides of the platform. The set of calibration photosensitive devices is located at two sides of the set of scan photosensitive device. The image processor is used to extract and compare the calibrated image, so as to adjust the scanned image.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: August 5, 2008
    Assignee: Transpacific IP, Ltd.
    Inventors: Chih-Wen Huang, Cheng-Kuei Chen, Jen-Shou Tseng
  • Patent number: 7402826
    Abstract: An improved system and method are disclosed for non-destructively determining the thickness and uniformity of an anti-tamper coating on a sensitive electronic part, such as, for example, an integrated circuit, multi-chip module, or other type of electronic device, component or equipment. The system includes an anti-tamper coating thickness measurement probe with a highly collimated beta radiation source and a Geiger-Muller tube sensitive to beta radiation arranged in close proximity to the beta radiation source. The probe is placed on or in close proximity to the anti-tamper coating on the part, so that the beta radiation electrons penetrate the coating material and are reflected back (back scattered) toward the beta radiation source and the Geiger-Muller tube. The Geiger-Muller tube collects the electrons from the back scattered radiation.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: July 22, 2008
    Assignee: Honeywell International Inc.
    Inventor: William J. Dalzell
  • Patent number: 7399983
    Abstract: In an inspection for protrusion of the melted thermoplastic material of transversal line seal into inside, it is an object to provide a quality inspection method and a quality inspection device which can easily detect projection on edge of seal, securely capture even fine unevenness which could not visually found, and allows for accurate and reliable inspection without variation of inspection. The quality inspection method comprise steps of returning container formed from a web-like packaging laminated material to a shape of pillow-like preliminary forming, cutting container wall to sample a filled food, preparing the sampled body, measuring an edge of the transversal seal zone on the inside of the container over the whole length of the outer surface of the edge for unevenness of the outer surface by a detecting unit, and judging an acceptability of the transversal seal zone based on signals from the detecting unit by an analyzing unit.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: July 15, 2008
    Assignee: Tetra Laval Holdings & Finance S.A.
    Inventor: Ryuji Yokote
  • Patent number: 7385215
    Abstract: Sensing elements that quickly and accurately determine if a liquid or gas is present around the sensing elements are disclosed. These sensing elements find particular application in identifying the location of the cavity wall in which a supercavitating vehicle is operating, relative to the vehicle. In certain embodiments signal emitting elements carried on the vehicle emit signals towards the presumed position of the cavity wall, and sensing elements carried on the vehicle receive the emitted signals after they are reflected off of the cavity wall. The sensing elements identify the location where the reflected signal is received, and based on this identified location, the location of the cavity wall is determined. In alternative embodiments, sensing elements are positioned along fins extending outward with respect to the hull of the vehicle, and the sensors sense the presence of liquid or gas.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: June 10, 2008
    Assignee: The Johns Hopkins University
    Inventors: Kim R. Fowler, Leo R. Gauthier, Jr.
  • Patent number: 7382461
    Abstract: An image of a light beam, which has been totally reflected from an interface between a dielectric material block and a thin film layer of an analysis chip for supporting a sample, is detected with photodetector and as a two-dimensional image constituted of pixels arrayed in a beam width direction and an incidence angle direction, which are perpendicular to each other. An abnormal pixel row, which contains a pixel represented by abnormal pixel data, is extracted from among pixel rows, each of which extends in the incidence angle direction, in the array of the pixels constituting the two-dimensional image and in accordance with an output of the photodetector. A position of a dark line in the light beam, which has been totally reflected from the interface, is detected from the pixel data corresponding to the pixel rows other than the abnormal pixel row.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: June 3, 2008
    Assignee: FUJIFILM Corporation
    Inventor: Toshihito Kimura
  • Patent number: 7375362
    Abstract: An optical test head comprises one or more optical input paths by which a beam of light is communicated from a light source to a workpiece and one or more optical output paths by which light reflected off of the workpiece is communicated to a detector. The input optical path and the output optical path can include one or more mirrors and one or more lenses. At least one of the optical paths includes a layer for trapping and/or absorbing stray light. One or more of the lenses includes an anti-reflective coating for reducing noise caused by unwanted light reflection off of the lenses. The optical paths include one or more masks reducing stray light. The one or more masks can have an adjustable aperture (e.g. an iris).
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: May 20, 2008
    Assignee: WD Media, Inc.
    Inventors: David Treves, Thomas A. O'Dell
  • Patent number: 7372062
    Abstract: A defect inspection device which inspects for surface defects in substrates, and which includes an illumination section that irradiates the substrate with illumination light having a variable incident angle, and a light-receiving section that receives light from the substrate irradiated with illumination light from the illumination section with a variable detection angle. The light-receiving section receives diffracted light emitted in substantially the same direction as the direction of incidence of the illumination light from the illumination section.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: May 13, 2008
    Assignee: Olympus Corporation
    Inventor: Toshihiko Tanaka
  • Patent number: 7361921
    Abstract: The orientation of the surface of an object to be examined is changed by adjusting the distance thereof to an optical measuring system in a plane-parallel manner in relation to a focusing plane of the optical measuring system, enabling high speeds of examination to be obtained during examination of the extended surfaces of the object. A distance-measurement system which is mounted in an auxiliary manner with regard to the measuring head enables fluctuations in the topography inside the surface of the object to be compensated in such a way that a currently received point or area can be optically sharpened.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: April 22, 2008
    Assignee: Icos Vision Systems NV
    Inventors: Detlef Gerhard, Johannes Lechner
  • Patent number: 7359044
    Abstract: A method of inspecting pattern defects can detect target defects in various processes stably by reducing erroneous detection of grains and morphology and decreasing the influence of an intensity nonuniformity in interference light. For this purpose, lights emitted from two sources of illumination capable of outputting a plurality of wavelengths are reflected by a beam splitter and irradiated onto a wafer. Diffracted light from the wafer is converged by an objective lens, is made to pass through light modulation units and imaged on an image sensor in a light detection unit. Then, defects are detected in a signal processing unit. Further, the optical modulation unit is made to have a structure that uses a plurality of optical components selectively and which can be optimized according to target defects.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: April 15, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Nishiyama, Yukihiro Shibata, Shunji Maeda, Minoru Yoshida
  • Patent number: 7355193
    Abstract: Multiple scans of the same object are obtained, where for any given line on the object to be scanned, the angle of the illumination is different for each scan. The different scans are obtained from different rows of photosensors that are separated. Because the angles of illumination are different, the resulting shadows in each scan are different. The multiple scans may be combined into a single composite color image. In a composite image, a dust particle may generate a series of overlapping shadows, where each shadow is a different color. Searching the composite image for the unique pattern of colors may identify artifacts or defects. Alternatively, the data for one scanned image may be compared to the data for another scanned image, and any differences may be due to shadows, which may indicate defects.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: April 8, 2008
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Robert G Gann, Kurt E. Spears
  • Patent number: 7351948
    Abstract: A method of operating a safety system for an industrial press having a moveable section and a stationary section, the safety system providing a plurality of continuous planar laser beams having a generally constant lateral width, each laser beam being spaced at varying distances from the moveable section, the method including moving the moveable section towards the stationary section at a relatively high speed; starting deceleration of the moveable section when one said laser beam reaches a speed control point located immediately adjacent the stationary section, moving the moveable section at a final crawl speed when a second said laser beam reaches the speed control point, the moveable section continuing to move at said final crawl speed towards said stationary section.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: April 1, 2008
    Assignee: Lazer Safe PTY Lt.
    Inventor: Robert Appleyard
  • Patent number: 7349075
    Abstract: A validating machine 30 according to the present invention is provided with a validation sensor 2 having a first-side light emitting device 8 and a first-side light receiving device 10 disposed closely to each other and a validation sensor 2? having a second-side light emitting device 8? and a second-side light receiving device 10? disposed closely to each other so that the validation sensor 2 and the validation sensor 2? are disposed opposite to each other on a first side and on a second side of a bill 4. The first-side light emitting device 8 and the second-side light emitting device 8? are controlled so as to emit light at their respective emission timings different from each other.
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: March 25, 2008
    Assignees: Aruze Corp., Seta Corp.
    Inventors: Jun Fujimoto, Kazuei Yoshioka
  • Patent number: 7319233
    Abstract: Apparatus that invention detects and characterizes hard or metallic materials or objects, worn or carried by persons or concealed on their persons or in bags or luggage, using directed or propagated energy such as ultrasound or microwave, that is reflected or scattered by the materials and objects of interest and that has a wavelength or wavelengths such that the width and/or shape of the reflected beam can be measured at the desired detection distance or range of distances and has a measurable dependence on the size and/or shape of the object, utilizing diffraction methods. Also disclosed are a system and a method utilizing this apparatus.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: January 15, 2008
    Assignee: Material Intelligence, LLC
    Inventor: Mitchell C. Nelson
  • Publication number: 20080006786
    Abstract: A method of imaging and identifying defects and contamination on the surface of an integrated circuit is described. The method may be used on areas smaller than one micron in diameter. An energetic beam, such as an electron beam, is directed at a selected IC location having a layer of a solid, fluid or gaseous reactive material formed over the surface. The energetic beam disassociates the reactive material in the region into chemical radicals that either chemically etch the surface preferentially, or deposit a thin layer of a conductive material over the local area around the energetic beam. The surface may be examined as various layers are selectively etched to decorate defects and/or as various layers are locally deposited in the area around the energetic beam. SEM imaging and other analytic methods may be used to identify the problem more easily.
    Type: Application
    Filed: July 10, 2006
    Publication date: January 10, 2008
    Inventors: Mark J. Williamson, Paul M. Johnson, Shawn D. Lyonsmith, Gurtej S. Sandhu, Justin R. Arrington
  • Patent number: 7312433
    Abstract: The invention relates to a measuring device 5 of the type which detects the interruption of a beam of radiation (e.g. light 20) by an object (50 FIG. 1) in the beam. The device includes a light emitter 12 and a light detector 32 each enclosed in a housing 10 and 30. In order to accommodate different spacings between the housings different caps 14 having varying size apertures 11 are fittable to the housings. This allows varying amounts of light to be issued and/or detected. Other ways of adjusting the amount of light passing through the cap are disclosed.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: December 25, 2007
    Assignee: Renishaw PLC
    Inventors: Victor G. Stimpson, Benjamin J. Merrifield
  • Patent number: 7310406
    Abstract: On a production line for component mounting substrate, mutually communicating inspection apparatus are each provided to a different one of production processes that are carried out sequentially such as the solder printing, component mounting and soldering processes. Each inspection apparatus can generate an X-ray transmission image of the substrate. Each inspection apparatus on the downstream side inputs an image from another inspection apparatus on the upstream side and generates a differential image of the inputted image and an X-ray transmission image of the same substrate generated by itself after the production process associated with itself is carried out. The differential image thus generated is used for inspecting the substrate such that the effect of the associated production process can be more accurately inspected.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: December 18, 2007
    Assignee: OMRON Corporation
    Inventors: Jun Kuriyama, Masato Ishiba, Kiyoshi Murakami, Teruhisa Yotsuya
  • Patent number: 7301165
    Abstract: A method for inspecting an object using a structured light measurement system that includes a light source for projecting light onto a surface of the object and an imaging sensor for receiving light reflected from the object. The method includes determining a position of at least one of the light source and the imaging sensor with respect to the object based on at least one of a three-dimensional model of the object and a three-dimensional model of the structured light measurement system.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: November 27, 2007
    Assignee: General Electric Company
    Inventors: Qingying Hu, Kevin George Harding, Joseph Benjamin Ross, Xiaoping Qian
  • Patent number: 7294846
    Abstract: This invention relates to an apparatus for the detection of the hydrogen content of an object, wherein said apparatus comprises a neutron source that emits fast/energy-rich neutrons; a detector device for detecting thermal neutrons; a moderator that brakes and reflects neutrons upon collision; wherein said detector device comprises a light-emitting unit that emits light in case of a nuclear event/reaction with a thermal neutron; a light-registering unit that emits an electric pulse/an electric signal when a flash of light is detected; and wherein said moderator is a light-conductive unit arranged between said light-emitting unit and said light-registering unit. Moreover the invention relates to a corresponding method of detecting hydrogen.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: November 13, 2007
    Assignee: Force Technology
    Inventor: Niels Hald Pedersen
  • Patent number: 7294822
    Abstract: A method and apparatus is provided by the invention whereby a base station communicates with and determines the position of multiple remote devices on a two-dimensional surface. In one embodiment the base station employs a single channel radio transmitter, an infrared detector, and an infrared projecting apparatus. The radio transmitter sends a continuous stream of addressed and time-multiplexed commands to the multiple remote devices. The multiple remote devices receive commands and time synchronization from the radio signal. The projecting apparatus is located above the two-dimensional surface and projects an alternating pair of orthogonal, sweeping, infrared, line-shaped, illumination areas upon it with deterministic timing. Each remote device detects the passage of the sweeping infrared line-shaped illumination areas over it. The measured timing is used to derive the two dimensional position aboard the remote device which is then communicated back to the base station by an infrared data link.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: November 13, 2007
    Inventor: Mitch Randall
  • Patent number: 7289231
    Abstract: An apparatus for determining physical properties of a mask blank. The apparatus includes, for example, an illumination device for radiating a predetermined light laterally into the mask blank, a detection device opposite the illumination device for detecting the light which has been scattered and/or runs through the mask blank, and an evaluation device for determining predetermined properties of the mask blank from the light which has been scattered and/or has run through the mask blank and has been detected in the detection device. The present invention likewise provides a method for determining physical properties of a mask blank.
    Type: Grant
    Filed: December 24, 2003
    Date of Patent: October 30, 2007
    Assignee: Infineon Technologies AG
    Inventors: Tarek Lutz, Markus Menath
  • Patent number: 7285771
    Abstract: An optical sensor assembly includes a housing and a light source within the housing and a plurality of sensors within the housing, the sensors being configured to detect reflections of the light from a piece of media or other object adjacent the housing.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: October 23, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Steven H. Walker
  • Patent number: 7282687
    Abstract: An image forming apparatus comprising: a document reading section that can read an image of a document; a document-type determining section that can extract, when the image includes a finishing mark or a characteristic form, the finishing mark or the characteristic form as a characteristic portion from the readout document image, and can determine, based on the extracted characteristic portion, a type of the finishing or a type of the document; a processing deciding section that decides, based on the determined type of the finishing or the determined type of the document, a type of finishing to be performed to a medium to be output or a type of image processing to be performed to an image to be output; and a processor that performs finishing of the type decided by the processing deciding section to the medium to be output or image processing of the type decided by the processing deciding section to the image to be output.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: October 16, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Takashi Matsuda, Naoki Saito, Fumikazu Shimanuki, Atsushi Kaseno, Yukio Kanaoka
  • Patent number: 7262852
    Abstract: This application describes, among others, wafer designs, testing systems and techniques for wafer-level optical testing by coupling probe light from top of the wafer.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: August 28, 2007
    Assignee: Luxtera, Inc.
    Inventors: Lawrence C. Gunn, III, Roman Malendevich, Thierry J. Pinguet, Maxime Jean Rattier, Myles Sussman, Jeremy Witzens
  • Patent number: 7262425
    Abstract: An apparatus for optically inspecting particles and/or defects correlates sizes of particles and/or defects to a cause of failure in an inspection result. A data processing circuit points out a cause of failure from the statistics on the inspection result, and displays information on the inspection result. A failure analysis is conducted by setting a threshold for identifying a failure in each of regions on a semiconductor device or the like to statistically evaluate detected particles.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: August 28, 2007
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Nishiyama, Minori Noguchi, Yoshimasa Ohshima, Akira Hamamatsu, Kenji Watanabe, Tetsuya Watanabe, Takahiro Jingu
  • Patent number: 7256412
    Abstract: Conventionally, a particle/defect inspection apparatus outputs a total number of detected particles/defects as the result of detection. For taking countermeasures to failures in manufacturing processes, the particles/defects detected by the inspection apparatus are analyzed. Since the inspection apparatus outputs a large number of detected particles/defects, an immense time is required for analyzing the detected particles/defects, resulting in a delay in taking countermeasures to a failure in the manufacturing processes. In the present invention, an apparatus for optically inspecting particles or defects relates a particle or defect size to a cause of failure in an inspection result. A data processing circuit points out a cause of failure from the statistics on the inspection result, and displays information on the inspection result.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: August 14, 2007
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Nishiyama, Minori Noguchi, Yoshimasa Ooshima, Akira Hamamatsu, Kenji Watanabe, Tetsuya Watanabe, Takahiro Jingu
  • Patent number: 7255524
    Abstract: A mechanism for mapping the contents of a cassette which is used for delivering substrates to a system for processing semiconductor and similar materials which is independent of load port or loading robot movement. The mapping mechanism includes a U-shaped probe having a photo emitter/receptor mounted on opposing surfaces of its legs. The U-shaped probe is mounted on a carriage for rotation about its longitudinal axis. The carriage is, in turn, mounted on a track for movement over the height of the cassette. The mapping mechanism is mounted on the loading port frame of the processing system adjacent to the load port and is moved into and out of it sensing position by interaction with a cam.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: August 14, 2007
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher A. Hofmeister, Ulysses Gilchrist
  • Patent number: 7242016
    Abstract: A surface inspection apparatus and a method for inspecting the surface of a sample are capable of inspecting discriminatingly between scratches of various configuration and adhered foreign objects that occur on the surface of a work target when the work target (for example, an insulating film on a semiconductor substrate) is subjected to a polishing process such as CMP or a grinding process, in semiconductor manufacturing process or magnetic head manufacturing process. In the invention, the scratch and foreign object that occur on the polished or ground surface of the sample is epi-illuminated and slant-illuminated by use of approximately same light flux, the difference between the scattered light intensity from the shallow scratch and from the foreign object is applied to thereby discriminate between the shallow scratch and the foreign object, and the directionality of the scattered light is detected to discriminate between the linear scratch and the foreign object.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: July 10, 2007
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Ichiro Ishimaru, Minori Noguchi, Ichiro Moriyama, Yoshikazu Tanabe, Yasuo Yatsugake, Yukio Kenbou, Kenji Watanabe, Hirofumi Tsuchiyama
  • Patent number: 7238958
    Abstract: A fault position identification system for a semiconductor device includes: a storage unit storing test data of the semiconductor device; a test result analyzer generating test parameters of the semiconductor device, based on failure information of a failure occurred in the semiconductor device; an emission controller controlling the semiconductor device to perform a circuit operation in which the failure occurs, by transmitting the test data corresponding to the test parameters to the semiconductor device; and an observation apparatus observing light emitted from a fault position and identifying the fault position.
    Type: Grant
    Filed: February 9, 2005
    Date of Patent: July 3, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshikazu Iizuka
  • Patent number: 7232982
    Abstract: A laser crystallization apparatus and method for crystallizing a semiconductor thin film while monitoring at a high spatial and temporal resolution in real time. In a laser crystallization apparatus comprising a crystallizing optical system which irradiates a semiconductor thin film with a pulse laser light having an intensity distribution to melt and to crystallize the thin film in a manner to grow grains laterally, the apparatus comprises an illumination light source provided out of an optical path of the laser, an illumination optical system including annular optical elements which provides the optical path of the laser light in a central portion and guides the illumination light to the thin film, and an observing optical system which magnifies the illumination light transmitted through the thin film, picks up an image of the grains growing laterally, and displays the image.
    Type: Grant
    Filed: June 7, 2004
    Date of Patent: June 19, 2007
    Assignee: Advanced LCD Technologies Development Center Co., Ltd.
    Inventor: Yoshio Takami
  • Patent number: 7230229
    Abstract: The device for detecting surface defects on the outer wall (2) of a transparent or translucent object (3), comprises: a broad light source (4), adapted to send a light beam (5) onto a surface of the wall (2), a linear sensor (8) for measuring light beams, arranged to collect the light beam (9) reflected by a linear zone of the wall (2), illuminated by the light source (4), means (12) ensuring relative movement between the object and the light source (4) and the linear measuring sensor (8), to move the linear measuring zone over the wall (2) of the object to cover the surface to be inspected, and a unit (15) for analysing and processing the light beams, received by the measuring sensor (8), for creating an image and to identify within the image the presence of a surface defect corresponding to a dark area.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: June 12, 2007
    Assignee: Tiama
    Inventors: Marc Gerard, Guillaume Bathelet
  • Patent number: 7214932
    Abstract: A resonator method and system for distinguishing characteristics of surface features or contaminants provides improved inspection or surface feature detection capability in scanning optical systems. A resonator including a surface of interest in the resonant path is coupled to a detector that detects light leaving the resonator. Changes in the resonance peak positions and peak intensities are evaluated against known changes for standard scatters in order to determine the material characteristics of an artifact at the surface of interest that causes a resonance change. The lateral size of the artifact is determined by de-convolving a known illumination spot size with the changing resonance characteristics, and the standard scatterer data is selected in conformity with the determined artifact size.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: May 8, 2007
    Assignee: Xyratex Technology Limited
    Inventors: Andrei Brunfeld, Gregory Toker, Bryan Clark
  • Patent number: 7214955
    Abstract: Media type is detected. A light beam is produced. The intensity of a specular reflectance component of the light beam is detected. The specular reflectance component results from the light beam being reflected off media. An indication of detected intensity of the specular reflectance component is compared to a mapping to determine media type of the media. The mapping maps detected intensity of the specular reflectance component to media type. The mapping uses only the detected intensity of the specular reflectance component, and no other components of light, to map detected intensity of the specular reflectance component to media type.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: May 8, 2007
    Assignee: Avago Technologies Imaging IP (Singapore) Pte.Ltd
    Inventors: Jiin Cheang Cheong, Boon Keat Tan, Saiful Bahari Saidan, Sze Yin Lee
  • Patent number: 7205561
    Abstract: An imaging apparatus includes a media support surface. A light source and a light detector are positioned in relation to a reflective surface such that when a sheet of print media covers the reflective surface, a reflected specular light component of a light beam is received by the light detector, and when the reflective surface is not covered, the reflective surface directs the reflected specular light component of the light beam away from the detector. The signal strength of the output from the light detector when receiving a diffuse light component reflected from the reflective surface is less than the signal strength of the output from the light detector when receiving the reflected specular light component that is reflected from a low reflectance print media.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: April 17, 2007
    Assignee: Lexmark International, Inc.
    Inventors: Mahesan Chelvayohan, Charles Jarratt Simpson, Herman Anthony Smith
  • Patent number: 7202492
    Abstract: An apparatus for detecting a substantially longitudinally extending feature of a running material web, especially the side edge of the web or an applied marker line, comprises a plurality of individually addressable light sources (22-1, to, 22-n) for illuminating, in one of multiple different lighting configurations, a section of the material web (10) containing the feature (12) to be detected; a spatially resolving detection unit (24) for recording the light emitted by the illuminated section as an optical response and for transmitting to an analysis unit (30) a data signal corresponding to the recorded light; an analysis unit (30) for determining, from the transmitted data signal, one or more characteristic parameters of the feature (12) to be detected; and a selection unit (32) for automatically selecting an optimal lighting configuration, which unit is arranged and adapted to address the light sources (22-1, to, 22-n) in different lighting configurations, to rate according to a pre-selected criterion the
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: April 10, 2007
    Assignee: FMS Force Measuring System AG
    Inventors: Jörg Inhelder, Roland Steininger
  • Patent number: 7199543
    Abstract: A cargo power drive unit includes a motor and at least one driver roller element coupled to the motor. The power drive unit further includes a light transmitter for emitting light and a light receiver for measuring light. The power drive unit measures an amount of ambient light and then measures an amount of total light after the light transmitter emits light. The power drive unit then determines whether a cargo container is present based on a calculation of reflected light and the measurement of ambient light.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: April 3, 2007
    Assignee: Goodrich Corporation
    Inventor: Peter Nicholas Hettwer
  • Patent number: 7199386
    Abstract: A system and a method for detecting defects in a light-management film are provided. The system includes a first light source configured to emit light onto a first side of the film in a first predetermined region of the film. The system further includes a second light source configured to emit light onto a second side of the film in the first predetermined region of the film. The system further includes a first camera configured to receive a first portion of light reflected from the first predetermined region of film from the first light source and a second portion of the light propagating through the film from the second light source. Finally, the system includes a signal-processing device operably coupled to the first camera configured to detect a defect in the first predetermined region of the film based on at least one of the first and second portions of light.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: April 3, 2007
    Assignee: General Electric Company
    Inventors: Kevin Patrick Capaldo, Mark Cheverton, Kevin George Harding, Robert Tait
  • Patent number: 7200257
    Abstract: A photomask, method of designing, of fabricating, of designing, a method of inspecting and a system for designing the photomask. The photomask, includes: a cell region, the cell region comprising one or more chip regions, each chip region comprising a pattern of opaque and clear sub-regions corresponding to features of an integrated circuit chip and one or more kerf regions, each kerf region comprising a pattern of opaque and clear sub-regions corresponding to features of an integrated circuit kerf; a clear region formed adjacent to a side of a copy region, the copy region comprising opaque and clear sub-regions that are copies of at least a part of the cell region; and an opaque region between the clear region and the cell region.
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: April 3, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jed H. Rankin, Andrew J. Watts
  • Patent number: 7186963
    Abstract: A method of obtaining information about radiation arising from within an environment includes providing a directionally sensitive radiation detector which has a field of view and providing a visual image capturing device which has an area of vision. The radiation for a field of view is measured, the field of view including a part of the environment. The measurement of radiation is recorded together with an indication of the space or position of the respective field of view relative to the detector. A visual image of an area of vision is obtained, the area of vision including the part of the environment within the field of view. The image is recorded together with an indication of the spatial position of that field of view relative to the detector. Selecting the set of data from the measurement of radiation according to a criteria set after completion of the measurements of radiation. The information is overlaid from one or more of the measurements of radiation with one of the visual images.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: March 6, 2007
    Assignee: BNFL (IP) Ltd.
    Inventors: Karl Anthony Hughes, John Adrian Lightfoot, Andrew Stuart Chesterman
  • Patent number: 7180084
    Abstract: In an inspection system for planar objects having periodic structures, programmable optical Fourier filtering in the focal plane of a telecentric lens system is used to directly identify physical phenomena indicative of non-periodic defects. Lens assemblies and a coherent optical source are used to generate and observe a spatial Fourier transform of a periodic structure in the Fourier plane. Optical Fourier filtering (OFF) is performed in the focal plane using an electrically programmable and electrically alignable spatial light modulator. The spatial light modulator with high signal to noise ratio is electrically reconfigurable according to a feedback-driven, filter construction and alignment algorithm. The OFF enhances any non-periodic components present in the Fourier plane and final image plane of the object. A system having a plurality of inspection channels provides high-throughput inspection of objects with small non-periodic defects while maintaining high detection sensitivity.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: February 20, 2007
    Assignee: Photon Dynamics, Inc.
    Inventors: Adam Weiss, Afsar Saranli, Oleksiy Lopatin, Alexandre Obotnine
  • Patent number: 7176433
    Abstract: A method and apparatus for improving system resolution for a defect line scanner while not increasing aliasing effects, or alternatively to maintain system resolution for a defect scanner while decreasing aliasing effects. This is accomplished by decreasing effective pixel size for a CCD array defect line scanner while not decreasing signal-to-noise ratio, with minimal changes to the current machine. The method utilizes a sampling phase shift between successive lines of a multi-line sensor array during scanning.
    Type: Grant
    Filed: November 17, 2004
    Date of Patent: February 13, 2007
    Assignee: KLA-Teacor Technologies Corporation
    Inventor: Eliezer Rosengaus
  • Patent number: 7176451
    Abstract: A photoelectric switch device for detecting an object within a field of detection on the basis of a position of the object is presented. The position is determined on the basis of a value relating to light that is reflected by the object. The photoelectric switch device has a light projecting and receiving optical arrangement disposed on a front wall of a box shaped casing, a display unit and a push type of adjusting switch disposed on a rear wall of the box shaped casing. The photoelectric switch device also has a push type of selecting/fixing/setting switch and an indicator disposed on one of walls of the box shaped casing, except the front and rear walls, and an output cable extending from a corner between the rear wall and another wall opposite to the one wall. The selecting/fixing/setting switch is used to select types of information that is to be displayed on the display unit in order to set and fix the value. The adjusting switch is used to adjust the value of information displayed on the display unit.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: February 13, 2007
    Assignee: Keyence Corporation
    Inventors: Kazutoshi Sugiyama, Koji Fukumura
  • Patent number: 7176474
    Abstract: There is provided a chip for use in a combination microbalance and optical monitor sensor, the chip comprising a piezoelectric element and electrodes formed on a first surface thereof. At least a portion of the piezoelectric element is translucent. There is also provided a chip comprising a piezoelectric element and electrodes in contact with the piezoelectric element, at least a portion of at least the first electrode being translucent, and at least a portion of the piezoelectric element being translucent. Current can be applied through the electrodes to cause a region of the piezoelectric element to undergo vibration, and light can be passed through a portion of the region of the piezoelectric element which is undergoing the vibration. There is also provided a combination microbalance and optical monitor sensor, comprising a chip as described herein, and a method of simultaneously piezoelectric crystal vibration monitoring and optically monitoring a layer.
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: February 13, 2007
    Assignee: Tangidyne Corporation
    Inventor: Scott Grimshaw
  • Patent number: 7173270
    Abstract: A lithographic apparatus transfers a pattern from a patterning device onto a substrate and includes a projection system to project a patterned radiation beam onto the substrate; a controllable actuator to adjust a distance between the projection system and the substrate; and a particle detector system to detect a particle on a surface of the substrate. The particle detector system has illumination optics directing the radiation to a detection area of the surface of the substrate, detection optics receiving radiation from the detection area of the surface of the substrate, and a detector coupled to the detection optics to produce a measurement signal. The apparatus further has a processing system to determine the height of a particle from the measurement signal, generate a height excess signal if the height exceeds a threshold value, and control the actuator in response to the height excess signal.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: February 6, 2007
    Assignee: ASML Netherlands B.V.
    Inventors: Anastasius Jacobus Anicetus Bruinsma, Pieter Johannes Marius Van Groos, Jan Frederick Hoogkamp, Kees Moddemeijer, Folkert Draaisma
  • Patent number: 7166856
    Abstract: An apparatus and method to inspect a display panel that can correctly detect a defect of the display panel itself. In the method of inspecting the display panel, a first image is captured from the display panel in a state in which no pattern is applied to the display panel. Light is then irradiated on the display panel in a state in which a pattern is applied to the display panel, and a second image is captured from the display panel. The first image can be compared with the second image, and a determination can be made as to whether or not a defect of the display panel is present.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: January 23, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sue Jin Cho, Hyung Sun You, Jang Hee Lee
  • Patent number: 7167247
    Abstract: The technique of the present invention enhances the stability of paper material identification of sheets. To achieve the above purpose, both short-wavelength light in the range of 370 nm and long-wavelength light in the range of 420 to 1000 nm are irradiated to paper to be identified in identifying the paper material. The identification is carried out, based on the difference in absorbance of the paper, which is obtained for each irradiated light. The absorbance of the paper varies according to the paper material, thereby enabling the identification of the paper material free from influence, which are caused by differences in manufacturing process, such as shading patterns. In addition, the simultaneous use of the short-wavelength light and the long-wavelength light declines influence on the absorbance, which are caused by environmental factors, such as humidity and deterioration of sheets, thereby resulting in stable identification of the paper material.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: January 23, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Toshiro Uemura, Yoshitaka Takezawa, Mitsunari Kano, Eiji Mizuno, Toshiaki Nakamura
  • Patent number: 7164146
    Abstract: A system is disclosed which utilizes the substantially steady-state temperature of a coated object, in conjunction with an optical detection system, to selectively view defects and features of the object below the coating without the necessity of transient heating or IR illumination and reflectance imaging. The optical detector, such as an IR camera, may be tailored for the wavelengths at which the coating material is substantially transparent, thereby maximizing the viewing clarity of the defects and features under the coating, and distinguishing them from any spurious features on the top surface of the coating. The present system enables the inspection of small or large areas in real time, without requiring complex image acquisition, storage and image processing equipment and software.
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: January 16, 2007
    Assignee: Northrop Grumman Corporation
    Inventors: John Douglas Weir, Donald DiMarzio, Steven Chu, Robert P. Silberstein
  • Patent number: 7164533
    Abstract: A method and apparatus f or performing an assay process, featuring providing microbeads in a solution; placing the microbeads on an alignment substrate; reading codes of the microbeads and the position thereof on the alignment substrate; reading the fluorescence on each microbead and the position order thereof on the alignment substrate; and determining an assay result based on bead position order and bead code of the earlier reading steps, where the microbead is an encoded particle having a particle substrate; a portion of the substrate being made of a substantially single material and having at least one diffraction grating embedded therein, the grating having a resultant refractive index variation within the single material at a grating location; and the grating providing an optical output signal indicative of a code when illuminated by an incident light signal propagating from outside said substrate, the optical output signal being a result of passive, non-resonant scattering from said grating when illumi
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: January 16, 2007
    Assignee: CyVera Corporation
    Inventors: John Moon, Alan D. Kersey, Martin A. Putnam, Tuo Li
  • Patent number: 7145160
    Abstract: A method and an apparatus to determine a class of a medium on which an image is formed. The method includes emitting light to the medium; sensing the light affected by the medium; collecting a first predetermined number of features which are represented by a relationship between a parameter and an intensity of the light and determining the class of the medium using the collected features. One of a light emitting part and a light receiving part move to emit or sense the light, respectively, and the parameter varies with the movement of the light emitting part or the light receiving part.
    Type: Grant
    Filed: August 4, 2004
    Date of Patent: December 5, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Young-sun Chun
  • Patent number: 7145164
    Abstract: A media routing control device and method for qualifying media for use with a sheet-fed device includes a sensor configured to detect a characteristic of a media sheet. The device further includes a usable sheet media path and an unusable sheet media path. A sheet-inverting duplexing path inverts the media sheet when necessary and a controller controls the routing of the media sheet along one of the media paths according to the detected characteristic.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: December 5, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: D. Travis Lay, Curtis Reese, Willard W. Bradburn
  • Patent number: RE40338
    Abstract: Apparatus and method for sensing the position, size, shape and location orientation of one or more objects in two dimensions. The position sensor uses arrays of light sensors mounted on a substrate. When an object passes in proximity to the light sensors light energy from a plurality of light sources is either reflected from the object to the light sensors, or is emitted directly to the light sensors. The light energy is then converted to individual signals and transmitted through circuit traces in a printed circuit board to a local controller. The information may then be processed to determine the size, position, shape and location orientation of an object.
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: May 27, 2008
    Assignee: Xerox Corporation
    Inventors: David K. Biegelsen, Bryan Preas, Lars Erik Swartz, Warren B. Jackson