Field Effect Device Patents (Class 257/24)
  • Patent number: 9252237
    Abstract: Transistors, semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes forming a transistor over a workpiece. The transistor includes a sacrificial gate material comprising a group III-V material. The method includes combining a metal (Me) with the group III-V material of the sacrificial gate material to form a gate of the transistor comprising a Me-III-V compound material.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: February 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Gerben Doornbos, Richard Oxland
  • Patent number: 9240410
    Abstract: A group III-N nanowire is disposed on a substrate. A longitudinal length of the nanowire is defined into a channel region of a first group III-N material, a source region electrically coupled with a first end of the channel region, and a drain region electrically coupled with a second end of the channel region. A second group III-N material on the first group III-N material serves as a charge inducing layer, and/or barrier layer on surfaces of nanowire. A gate insulator and/or gate conductor coaxially wraps completely around the nanowire within the channel region. Drain and source contacts may similarly coaxially wrap completely around the drain and source regions.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: January 19, 2016
    Assignee: Intel Corporation
    Inventors: Han Wui Then, Robert Chau, Benjamin Chu-Kung, Gilbert Dewey, Jack Kavalieros, Matthew Metz, Niloy Mukherjee, Ravi Pillarisetty, Marko Radosavljevic
  • Patent number: 9236313
    Abstract: A method of fabricating a semiconductor device having a dual gate allows for the gates to have a wide variety of threshold voltages. The method includes forming a gate insulation layer, a first capping layer, and a barrier layer in the foregoing sequence across a first region and a second region on a substrate, exposing the gate insulation layer on the first region by removing the first capping layer and the barrier layer from the first region, forming a second capping layer on the gate insulation layer in the first region and on the barrier layer in the second region, and thermally processing the substrate on which the second capping layer is formed. The thermal processing causes material of the second capping layer to spread into the gate insulation layer in the first region and material of the first capping layer to spread into the gate insulation layer in the second region. Thus, devices having different threshold voltages can be formed in the first and second regions.
    Type: Grant
    Filed: December 8, 2014
    Date of Patent: January 12, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hoon-joo Na, Yu-gyun Shin, Hong-bae Park, Hag-ju Cho, Sug-hun Hong, Sang-jin Hyun, Hyung-seok Hong
  • Patent number: 9219166
    Abstract: Embodiments of tunneling barriers and methods for same can embed molecules exhibiting a monodispersion characteristic into a dielectric layer (e.g., between first and second layers forming a dielectric layer). In one embodiment, by embedding C60 molecules inbetween first and second insulating layers forming a dielectric layer, a field sensitive tunneling barrier can be implemented. In one embodiment, the tunneling barrier can be between a floating gate and a channel in a semiconductor structure. In one embodiment, a tunneling film can be used in nonvolatile memory applications where C60 provides accessible energy levels to prompt resonant tunneling through the dielectric layer upon voltage application. Embodiments also contemplate engineered fullerene molecules incorporated within the context of at least one of a tunneling dielectric and a floating gate within a nonvolatile flash memory structure.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: December 22, 2015
    Assignee: CORNELL UNIVERSITY
    Inventors: Edwin C. Kan, Qianying Xu, Ramesh Sivarajan, Henning Richter, Viktor Vejins
  • Patent number: 9214582
    Abstract: A uni-travelling carrier photodiode includes an absorption region of p-type doped material. The photodiode further includes a first collector layer and second collector layer wherein the absorption region is located between the first collector layer and the second collector layer.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: December 15, 2015
    Assignee: Alcatel Lucent
    Inventors: Mohand Achouche, Mourad Chtioui
  • Patent number: 9209274
    Abstract: The present disclosure provides in various aspects methods of forming a semiconductor device, methods for forming a semiconductor device structure, a semiconductor device and a semiconductor device structure. In some illustrative embodiments herein, a gate structure is formed over a non-planar surface portion of a semiconductor material provided on a surface of a substrate. A doped spacer-forming material is formed over the gate structure and the semiconductor material and dopants incorporated in the doped spacer-forming material are diffused into the semiconductor material close to a surface of the semiconductor material so as to form source/drain extension regions. The fabricated semiconductor devices may be multi-gate devices and, for example, comprise finFETs and/or wireFETs.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: December 8, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Gerd Zschaetzsch, Stefan Flachowsky, Dominic Thurmer
  • Patent number: 9178045
    Abstract: Integrated circuit devices including fin field-effect transistors (finFETs) and methods of forming the same are provided. The methods may include forming a fin-shaped channel region including germanium on a substrate and forming a source/drain region adjacent the channel region on the substrate. The methods may further include forming a barrier layer contacting sidewalls of the channel region and the source/drain region, and the barrier layer may include SixGe1-x, and x may be in a range of about 0.05 to about 0.2.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: November 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Borna J. Obradovic, Robert C. Bowen, Mark S. Rodder
  • Patent number: 9159822
    Abstract: A method including forming a pair of inner spacers along a vertical sidewall of a gate trench, gate trench extending into a III-V compound semiconductor-containing heterostructure, forming a gate conductor within the gate trench, removing a portion of a first dielectric layer selective to the gate conductor and the pair of inner spacers, forming a pair of outer spacers adjacent to the pair of inner spacers, the outer spacers are in direct contact with and self-aligned to the inner spacers, and forming a pair of source-drain contacts within an uppermost layer of the III-V compound semiconductor-containing heterostructure, the pair of source-drain contacts are self-aligned to the pair of outer spacers such that an edge of each individual source-drain contact is aligned with an outside edge of each individual outer spacer.
    Type: Grant
    Filed: February 24, 2014
    Date of Patent: October 13, 2015
    Assignee: International Business Machines Corporation
    Inventors: Anirban Basu, Amlan Majumdar, Kuen-Ting Shiu, Yanning Sun
  • Patent number: 9136332
    Abstract: A transistor device and method for forming a field effect transistor device are provided. An example transistor device includes a semiconductor substrate and a device layer. The device layer includes a source region and a drain region that are connected by a channel region that comprises a portion of a nanowire. The channel region is formed by providing a sacrificial layer over the semiconductor substrate. The nanowire is formed over the sacrificial layer, and the sacrificial layer is etched. The etching is selective to the sacrificial layer to prevent the removal of the nanowire, and the etching causes the portion of the nanowire to be suspended over the semiconductor substrate. A gate region is formed that surrounds at least the portion of the nanowire. The gate region is deposited in a conformal manner over all sides of the portion, and the portion is no longer suspended over the semiconductor substrate.
    Type: Grant
    Filed: December 10, 2013
    Date of Patent: September 15, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventor: Richard Kenneth Oxland
  • Patent number: 9130567
    Abstract: An inverter device including a tunable diode device and a diode device that includes a control terminal connected to an input terminal of the inverter device, an anode terminal connected to a high-level voltage terminal, and a cathode terminal connected to an output terminal of the inverter device, wherein the diode device is configured to turn on or off according to a voltage applied to the control terminal.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: September 8, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-jun Yun, Sang-wook Kim, Seong-jun Park, David Seo, Young-hee Yvette Lee, Chang-seung Lee
  • Patent number: 9129811
    Abstract: This invention relates to a method and board for forming a graphene layer, and more particularly, to a method of forming a high-quality graphene layer using high pressure annealing and to a board used therein. The method of forming the graphene layer includes forming a reaction barrier layer on a substrate layer, forming a metal catalyst layer which functions as a catalyst for forming the graphene layer on the reaction barrier layer, subjecting a board including a stack of the layers to high pressure annealing, and growing the graphene layer on the metal catalyst layer. This board is subjected to high pressure annealing before growth of the graphene layer, and the reaction barrier layer is formed using a material having high adhesion energy to the metal catalyst layer so as to suppress migration of metal catalyst atoms.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: September 8, 2015
    Assignee: Korea Advanced Instittute of Science and Technology
    Inventors: Byung Jin Cho, Jeong Hun Mun
  • Patent number: 9111784
    Abstract: The present disclosure provides an integrated circuit (IC). The IC includes a substrate having a metal-oxide-semiconductor (MOS) region. The IC further includes first gate, source and drain regions, having a first length, and second gate, source and drain regions, having a second length. A first nanowire set is disposed in the first gate region, the first nanowire set including a nanowire having a first diameter and connecting to a feature in the first source region and a feature in the first drain region. A second nanowire set is disposed in the second gate region, the second nanowire set including a nanowire having a second diameter and connecting to a feature in the second source region and a feature in the second drain region. The diameters are such that if the first length is greater than the second length, the first diameter is less than the second diameter, and vice versa.
    Type: Grant
    Filed: September 4, 2014
    Date of Patent: August 18, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Jiun-Jia Huang
  • Patent number: 9093507
    Abstract: Semiconductor structures including parallel graphene nanoribbons or carbon nanotubes oriented along crystallographic directions are provided from a template of silicon carbide (SiC) fins or nanowires. The SiC fins or nanowires are first provided and then graphene nanoribbons or carbon nanotubes are formed on the exposed surfaces of the fin or the nanowires by annealing. In embodiments in which closed carbon nanotubes are formed, the nanowires are suspended prior to annealing. The location, orientation and chirality of the graphene nanoribbons and the carbon nanotubes that are provided are determined by the corresponding silicon carbide fins and nanowires from which they are formed.
    Type: Grant
    Filed: October 28, 2013
    Date of Patent: July 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Guy M. Cohen, Christos D. Dimitrakopoulos, Alfred Grill
  • Patent number: 9087995
    Abstract: Nanostructures are doped to set conductivity characteristics. In accordance with various example embodiments, nanostructures such as carbon nanotubes are doped with a halogenated fullerene type of dopant material. In some implementations, the dopant material is deposited from solution or by vapor deposition, and used to dope the nanotubes to increase the thermal and/or electrical conductivity of the nanotubes.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: July 21, 2015
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: Ajay Virkar, Melburne C. LeMieux, Zhenan Bao
  • Patent number: 9087888
    Abstract: A semiconductor device includes: a device region having a semiconductor layer that includes a channel section; a device peripheral region adjoining the device region; a gate electrode provided within the device region, and having a boundary section that spans the device region and the device peripheral region; a conductive layer provided between the gate electrode and the semiconductor layer; and an insulating layer provided between the gate electrode in the boundary section and the semiconductor layer.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: July 21, 2015
    Assignee: Sony Corporation
    Inventors: Masashi Yanagita, Shigeru Kanematsu
  • Patent number: 9082845
    Abstract: A split-body Super Junction FET is made using only seven masks. Thin oxide is disposed on an upper semiconductor surface of a super junction charge compensation region. A polysilicon gate is disposed on the thin oxide. An ILD (InterLayer Dielectric) layer is disposed on the upper surface of the thin oxide so that the ILD layer covers the polysilicon gate. A gate bus line metal structure and a field plate metal structure are disposed on the upper surface of the ILD. A portion of the upper surface of the ILD extends from the gate bus line metal, laterally over floating rings, and to the field plate metal. This portion of the upper surface of the ILD layer is substantially planar where the ILD layer passes over the floating rings. The field plate metal structure, a polysilicon feature, and a diffusion region together form a stepped depletion layer field plate structure.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: July 14, 2015
    Assignee: IXYS Corporation
    Inventor: Kyoung Wook Seok
  • Patent number: 9076851
    Abstract: An electronic device includes a substrate supporting mobile charge carriers, insulative features formed on the substrate surface to define first and second substrate areas on either side of the insulative features, the first and second substrate areas being connected by an elongate channel defined by the insulative features, the channel providing a charge carrier flow path in the substrate from the first area to the second area, the conductivity between the first and second substrate areas being dependent upon the potential difference between the areas. The mobile charge carriers can be within at least two modes in each of the three dimensions within the substrate. The substrate can be an organic material. The mobile charge carriers can have a mobility within the range 0.01 cm2/Vs to 100 cm2/Vs, and the electronic device may be an RF device. Methods for forming such devices are also described.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: July 7, 2015
    Assignee: PRAGMATIC PRINTING LIMITED
    Inventor: Aimin Song
  • Patent number: 9059318
    Abstract: A complementary metal-oxide semiconductor (CMOS) structure includes a substrate and a P-type field effect transistor (FET) and an N-type FET disposed adjacent to one another on the substrate. Each FET includes a silicon-on-insulator (SOI) region, a gate electrode disposed on the SOI region, a source stressor, and a drain stressor disposed across from the source stressor relative to the gate electrode, wherein proximities of the source stressor and the drain stressor to a channel of a respective FET are substantially equal.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: June 16, 2015
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Amlan Majumdar, Xinhui Wang
  • Patent number: 9048163
    Abstract: A transistor may include an active layer having a plurality of oxide semiconductor layers and an insulating layer disposed therebetween. The insulating layer may include a material that has higher etch selectivity with respect to at least one of the plurality of oxide semiconductor layers. The electronic device may include a first transistor and a second transistor connected to the first transistor. The second transistor may include an active layer having a different structure from that of the active layer included in the first transistor. The active layer of the second transistor may have the same structure as one of the plurality of oxide semiconductor layers constituting the active layer of the first transistor.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: June 2, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-hun Jeon, I-hun Song, Seung-eon Ahn, Chang-jung Kim, Young Kim
  • Patent number: 9048092
    Abstract: A method for preparing graphene by reaction with Cl2 based on annealing with assistant metal film is provided, comprising the following steps: applying normal wash to a Si-substrate, then putting the Si-substrate into a reaction chamber of a CVD system and evacuating, rising the temperature to 950° C.-1150° C. gradually, supplying C3H8 and carbonizing the Si-substrate for 3-10 min; rising the temperature to 1150° C.-1350° C. rapidly, supplying C3H8 and SiH4, growing a 3C—SiC hetero-epitaxial film on the carbonized layer, and then reducing the temperature to ambient temperature under the protection of H2 gradually, introducing the grown sample wafer of 3C—SiC into a quartz tube, heating to 700-1100° C., supplying mixed gas of Ar and Cl2, and reacting Cl2 with 3C—SiC to generate a carbon film, applying the sample wafer of carbon film on a metal film, annealing at 900° C.-1100° C.
    Type: Grant
    Filed: September 3, 2012
    Date of Patent: June 2, 2015
    Assignee: Xidian University
    Inventors: Hui Guo, Keji Zhang, Yuming Zhang, Pengfei Deng, Tianmin Lei
  • Publication number: 20150144872
    Abstract: A semiconductor device includes a substrate supporting a plurality of layers that include at least one modulation doped quantum well (QW) structure offset from a quantum dot in quantum well (QD-in-QW) structure. The modulation doped QW structure includes a charge sheet spaced from at least one QW by a spacer layer. The QD-in-QW structure has QDs embedded in one or more QWs. The QD-in-QW structure can include at least one template/emission substructure pair separated by a barrier layer, the template substructure having smaller size QDs than the emission substructure. A plurality of QD-in-QW structures can be provided to support the processing (emission, absorption, amplification) of electromagnetic radiation of different characteristic wavelengths (such as optical wavelengths in range from 1300 nm to 1550 nm).
    Type: Application
    Filed: November 20, 2014
    Publication date: May 28, 2015
    Applicants: Opel Solar, Inc., The University of Connecticut
    Inventor: Geoff W. Taylor
  • Publication number: 20150144880
    Abstract: A non-planar gate all-around device and method of fabrication thereby are described. In one embodiment, the device includes a substrate having a top surface with a first lattice constant. Embedded epi source and drain regions are formed on the top surface of the substrate. The embedded epi source and drain regions have a second lattice constant that is different from the first lattice constant. Channel nanowires having a third lattice are formed between and are coupled to the embedded epi source and drain regions. In an embodiment, the second lattice constant and the third lattice constant are different from the first lattice constant. The channel nanowires include a bottom-most channel nanowire and a bottom gate isolation is formed on the top surface of the substrate under the bottom-most channel nanowire. A gate dielectric layer is formed on and all-around each channel nanowire. A gate electrode is formed on the gate dielectric layer and surrounding each channel nanowire.
    Type: Application
    Filed: December 23, 2014
    Publication date: May 28, 2015
    Inventors: Willy Rachmady, Ravi Pillarisetty, Van H. Lee, Jack T. Kavalieros, Robert S. Chau, Jessica S. Kachian
  • Publication number: 20150137073
    Abstract: A method of forming nanowire devices. The method includes forming a stressor layer circumferentially surrounding a semiconductor nanowire. The method is performed such that, due to the stressor layer, the nanowire is subjected to at least one of radial and longitudinal strain to enhance carrier mobility in the nanowire. Radial and longitudinal strain components can be used separately or together and can each be made tensile or compressive, allowing formulation of desired strain characteristics for enhanced conductivity in the nanowire of a given device.
    Type: Application
    Filed: January 14, 2015
    Publication date: May 21, 2015
    Inventors: Bernd W. Gotsmann, Siegfried F. Karg, Heike E. Riel
  • Patent number: 9030189
    Abstract: Photo-field-effect transistor devices and associated methods are disclosed in which a photogate, consisting of a quantum dot sensitizing layer, transfers photoelectrons to a semiconductor channel across a charge-separating (type-II) heterointerface, producing a sustained primary and secondary flow of carriers between source and drain electrodes. The light-absorbing photogate thus modulates the flow of current along the channel, forming a photo-field effect transistor.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: May 12, 2015
    Inventor: Edward Hartley Sargent
  • Patent number: 9029834
    Abstract: Techniques for defining a damascene gate in nanowire FET devices are provided. In one aspect, a method of fabricating a FET device is provided including the following steps. A SOI wafer is provided having a SOI layer over a BOX. Nanowires and pads are patterned in the SOI layer in a ladder-like configuration. The BOX is recessed under the nanowires. A patternable dielectric dummy gate(s) is formed over the recessed BOX and surrounding a portion of each of the nanowires. A CMP stop layer is deposited over the dummy gate(s) and the source and drain regions. A dielectric film is deposited over the CMP stop layer. The dielectric film is planarized using CMP to expose the dummy gate(s). The dummy gate(s) is at least partially removed so as to release the nanowires in a channel region. The dummy gate(s) is replaced with a gate conductor material.
    Type: Grant
    Filed: July 6, 2010
    Date of Patent: May 12, 2015
    Assignee: International Business Machines Corporation
    Inventors: Sarunya Bangsaruntip, Guy Cohen, Michael A. Guillorn
  • Patent number: 9029836
    Abstract: In a method for fabricating a graphene structure, there is formed on a fabrication substrate a pattern of a plurality of distinct graphene catalyst materials. In one graphene synthesis step, different numbers of graphene layers are formed on the catalyst materials in the formed pattern. In a method for fabricating a graphene transistor, on a fabrication substrate at least one graphene catalyst material is provided at a substrate region specified for synthesizing a graphene transistor channel and at least one graphene catalyst material is provided at a substrate region specified for synthesizing a graphene transistor source, and at a substrate region specified for synthesizing a graphene transistor drain. Then in one graphene synthesis step, at least one layer of graphene is formed at the substrate region for the graphene transistor channel, and at the regions for the transistor source and drain there are formed a plurality of layers of graphene.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: May 12, 2015
    Assignee: President and Fellows of Harvard College
    Inventors: Jung-Ung Park, SungWoo Nam, Charles M. Lieber
  • Patent number: 9029222
    Abstract: Three dimensional quantum well transistors and fabrication methods are provided. A quantum well layer, a barrier layer, and a gate structure can be sequentially formed on an insulating surface of a fin part. The gate structure can be formed over the barrier layer and across the fin part. The QW layer and the barrier layer can form a hetero-junction of the transistor. A recess can be formed in the fin part on both sides of the gate structure to suspend a sidewall spacer. A source and a drain can be formed by growing an epitaxial material in the recess and the sidewall spacer formed on both sidewalls of the gate electrode can be positioned on surface of the source and the drain.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: May 12, 2015
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: De Yuan Xiao
  • Patent number: 9029835
    Abstract: An embodiment of the invention includes an epitaxial layer that directly contacts, for example, a nanowire, fin, or pillar in a manner that allows the layer to relax with two or three degrees of freedom. The epitaxial layer may be included in a channel region of a transistor. The nanowire, fin, or pillar may be removed to provide greater access to the epitaxial layer. Doing so may allow for a “all-around gate” structure where the gate surrounds the top, bottom, and sidewalls of the epitaxial layer. Other embodiments are described herein.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: May 12, 2015
    Assignee: Intel Corporation
    Inventors: Benjamin Chu-King, Van Le, Robert Chau, Sansaptak Dasgupta, Gilbert Dewey, Nitika Goel, Jack Kavalieros, Matthew Metz, Niloy Mukherjee, Ravi Pillarisetty, Willy Rachmady, Marko Radosavljevic, Han Wui Then, Nancy Zelick
  • Patent number: 9012960
    Abstract: A photo detector comprising a first doped impurity region (adapted to receive a first voltage) disposed in or on a substrate; a body region, juxtaposed the first doped impurity region; a gate (adapted to receive a second voltage) spaced from a first portion of the body region; a light absorbing region, juxtaposed a second portion of the body region, includes a material which, in response to light incident thereon, generates carrier pairs including a first and second type carriers; a contact region (adapted to receive a third voltage) juxtaposed the light absorbing region; wherein, in response to incident light, the gate attracts first type carriers of the carrier pairs to the first portion of the body region which causes second carriers from the first doped impurity region to flow to the contact region, and the contact region attracts second type carriers.
    Type: Grant
    Filed: May 28, 2012
    Date of Patent: April 21, 2015
    Assignee: Actlight, S.A.
    Inventor: Serguei Okhonin
  • Patent number: 9012284
    Abstract: Techniques are disclosed for customization of nanowire transistor devices to provide a diverse range of channel configurations and/or material systems within the same integrated circuit die. In accordance with one example embodiment, sacrificial fins are removed and replaced with custom material stacks of arbitrary composition and strain suitable for a given application. In one such case, each of a first set of the sacrificial fins is recessed or otherwise removed and replaced with a p-type layer stack, and each of a second set of the sacrificial fins is recessed or otherwise removed and replaced with an n-type layer stack. The p-type layer stack can be completely independent of the process for the n-type layer stack, and vice-versa. Numerous other circuit configurations and device variations are enabled using the techniques provided herein.
    Type: Grant
    Filed: July 27, 2012
    Date of Patent: April 21, 2015
    Assignee: Intel Corporation
    Inventors: Glenn A. Glass, Kelin J. Kuhn, Seiyon Kim, Anand S. Murthy, Daniel B. Aubertine
  • Publication number: 20150097158
    Abstract: The present disclosure relates to the fabrication of microelectronic devices having at least one negative differential resistance device formed therein. In at least one embodiment, the negative differential resistance devices may be formed utilizing quantum wells. Embodiments of negative differential resistance devices of present description may achieve high peak drive current to enable high performance and a high peak-to-valley current ratio to enable low power dissipation and noise margins, which allows for their use in logic and/or memory integrated circuitry.
    Type: Application
    Filed: December 16, 2014
    Publication date: April 9, 2015
    Inventor: RAVI PILLARISETTY
  • Patent number: 9000417
    Abstract: Multi-source/drain Spatial Wavefunction Switched (SWS) field-effect transistors (FETs) are configured to serve as 1-bit and 2-bit static random access memory (SRAM) and dynamic random access memory (DRAM) cells. The SWS-FET transport channel comprises of multiple asymmetric coupled wells which are contacted via more than one sources and drains.
    Type: Grant
    Filed: August 6, 2013
    Date of Patent: April 7, 2015
    Inventor: Faquir Chand Jain
  • Patent number: 8994003
    Abstract: To provide a power MISFET using oxide semiconductor. A gate electrode, a source electrode, and a drain electrode are formed so as to interpose a semiconductor layer therebetween, and a region of the semiconductor layer where the gate electrode and the drain electrode do not overlap with each other is provided between the gate electrode and the drain electrode. The length of the region is from 0.5 ?m to 5 ?m. In such a power MISFET, a power source of 100 V or higher and a load are connected in series between the drain electrode and the source electrode, and a control signal is input to the gate electrode.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: March 31, 2015
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yasuhiko Takemura
  • Publication number: 20150084000
    Abstract: In one embodiment, the present invention includes an apparatus having a substrate, a buried oxide layer formed on the substrate, a silicon on insulator (SOI) core formed on the buried oxide layer, a compressive strained quantum well (QW) layer wrapped around the SOI core, and a tensile strained silicon layer wrapped around the QW layer. Other embodiments are described and claimed.
    Type: Application
    Filed: December 3, 2014
    Publication date: March 26, 2015
    Inventors: Chi On Chui, Prashant Majhi, Wilman Tsai, Jack T. Kavalieros
  • Publication number: 20150083999
    Abstract: A method for fabricating a semiconductor device comprises forming a nanowire on an insulator layer at a surface of a substrate; forming a dummy gate over a portion of the nanowire and a portion of the insulator layer; forming recesses in the insulator layer on opposing sides of the dummy gate; forming spacers on opposing sides of the dummy gate; forming source regions and drain regions in the recesses in the insulator layer on opposing sides of the dummy gate; depositing an interlayer dielectric on the source regions and the drain regions; removing the dummy gate to form a trench; removing the insulator layer under the nanowire such that a width of the trench underneath the nanowire is equal to or less than a distance between the spacers; and forming a replacement gate in the trench.
    Type: Application
    Filed: November 4, 2014
    Publication date: March 26, 2015
    Inventors: Kangguo Cheng, Bruce B. Doris, Pouya Hashemi, Ali Khakifirooz, Alexander Reznicek
  • Patent number: 8987071
    Abstract: A thin-film transistor comprises a semiconductor panel, a dielectric layer, a semiconductor film layer, a conduct layer, a source and a drain. The semiconductor panel comprises a base, an intra-dielectric layer, at least one metal wire layer and at least one via layer. The dielectric layer is stacked on the semiconductor panel. The semiconductor film layer is stacked on the dielectric layer. The conduct layer is formed on the semiconductor film layer. The source is formed on the via of the vias that is adjacent to and connects to the gate via. The drain is formed on another via of the vias that is adjacent to and connects to the gate via. A fabricating method for a thin-film transistor with metal-gates and nano-wires is also disclosed.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: March 24, 2015
    Assignee: National Applied Research Laboratories
    Inventors: Min-Cheng Chen, Chang-Hsien Lin, Chia-Yi Lin, Tung-Yen Lai, Chia-Hua Ho
  • Patent number: 8987705
    Abstract: Carbon nanotubes can be aligned with compatibility with semiconductor manufacturing processes, with scalability for forming smaller devices, and without performance degradation related to structural damages. A planar structure including a buried gate electrode and two embedded electrodes are formed. After forming a gate dielectric, carbon nanotubes are assembled in a solution on a surface of the gate dielectric along the direction of an alternating current (AC) electrical field generated by applying a voltage between the two embedded electrodes. A source contact electrode and a drain contact electrode are formed by depositing a conductive material on both ends of the carbon nanotubes. Each of the source and drain contact electrodes can be electrically shorted to an underlying embedded electrode to reduce parasitic capacitance.
    Type: Grant
    Filed: January 9, 2014
    Date of Patent: March 24, 2015
    Assignees: International Business Machines Corporation, Karlsruher Institut fuer Technologie (KIT)
    Inventors: Phaedon Avouris, Yu-ming Lin, Mathias B. Steiner, Michael W. Engel, Ralph Krupke
  • Publication number: 20150077086
    Abstract: A method for accurately electrically measuring a width of a fin of a FinFET, using a semiconductor fin quantum well structure is provided. The semiconductor fin quantum well structure includes a semiconductor substrate and at least one semiconductor fin coupled to the substrate. Each of the semiconductor fin is sandwiched by an electrical isolation layer from a top and a first side and a second side across from the first side, to create a semiconductor fin quantum well. At least one gate material is provided on each side of the electrical isolation layer. A dielectric layer is provided over the top of the electrical isolation layer to further increase the electrical isolation between the gate materials. The width of the semiconductor fin is measured accurately by applying a resonant bias voltage across the fin by applying voltage on the gate materials from either side. The peak tunneling current generated by the applied resonant bias voltage is used to measure width of the fin.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 19, 2015
    Applicant: GLOBALFOUNDRIES Inc
    Inventor: Jagar Singh
  • Patent number: 8981344
    Abstract: A field-effect transistor is provided and includes source, gate and drain regions, where the gate region controls charge carrier location in the transport channel, the transport channel includes a asymmetric coupled quantum well layer, the asymmetric quantum well layer includes at least two quantum wells separated by a barrier layer having a greater energy gap than the wells, the transport channel is connected to the source region at one end, and the drain regions at the other, the drain regions include at least two contacts electrically isolated from each other, the contacts are connected to at least one quantum well. The drain may include two regions that are configured to form the asymmetric coupled well transport channel. In an embodiment, two sources and two drains are also envisioned.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: March 17, 2015
    Inventors: Faquir Chand Jain, Evan Heller
  • Publication number: 20150069328
    Abstract: A method of forming a semiconductor structure including forming a stack of layers on a top surface of a substrate, the stack of layers including alternating layers of a semiconductor material and a sacrificial material, where a bottommost layer of the stack of layers is a top semiconductor layer of the substrate, patterning a plurality of material stacks from the stack of layers, each material stack including an alternating stack of a plurality of nanowire channels and a plurality of sacrificial spacers, the plurality of nanowire channels including the semiconductor material, and the plurality of sacrificial spacers including the sacrificial material, and removing at least one of the plurality of nanowire channels from at least one of the plurality of material stacks without removing one or more of the plurality of nanowire channels from an adjacent material stack.
    Type: Application
    Filed: September 12, 2013
    Publication date: March 12, 2015
    Applicant: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 8975617
    Abstract: A device to produce an output based on interference of electron waves is disclosed. Said device comprised out of two areas having different medium properties for propagation of an electron wave, where the first of said areas is connected to a source to inject electrons and the second of said areas is connected to a drain to collect electrons while said electrons have a propagation path through the device starting at the source and ending at the drain. Said areas are designed in a manner to result in advancing and reflected waves having interleaved sections along said path which yield interference, either constructive or destructive, thus determining the transport probability of the electron through the device. Said device is operated either as a switch, in a first embodiment, by adding a control gate, or as a detector, in a second embodiment, used for measurement of external particle ensemble properties.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: March 10, 2015
    Assignee: Dan Berco
    Inventor: Dan Berco
  • Publication number: 20150060767
    Abstract: A method is provided for fabricating a nanowire-based semiconductor structure. The method includes forming a first nanowire with a first polygon-shaped cross-section having a first number of sides. The method also includes forming a semiconductor layer on surface of the first nanowire to form a second nanowire with a second polygon-shaped cross-section having a second number of sides, the second number being greater than the first number. Further, the method includes annealing the second nanowire to remove a substantial number of vertexes of the second polygon-shaped cross-section to form the nanowire with a non-polygon-shaped cross-section corresponding to the second polygon-shaped cross-section.
    Type: Application
    Filed: November 10, 2014
    Publication date: March 5, 2015
    Inventors: DEYUAN XIAO, JAMES HONG
  • Publication number: 20150060766
    Abstract: In another embodiment, the tunneling field effect TFET includes a source electrode, a drain electrode, and a channel layer between the source electrode and the drain electrode. A first junction surface is between the source electrode and the channel layer, and a second junction surface is between the drain electrode and the channel layer. A gate is on the channel layer. The gate has first and second side surfaces. The first side surface is at the source electrode side and the second side surface is at the drain electrode side. The first side surface extends from the channel layer.
    Type: Application
    Filed: August 28, 2014
    Publication date: March 5, 2015
    Inventor: Ji-hyun HUR
  • Publication number: 20150053925
    Abstract: The present disclosure relates to a top-down method of forming a nanowire structure extending between source and drain regions of a nanowire transistor device, and an associated apparatus. In some embodiments, the method provides a substrate having a device layer disposed over a first dielectric layer. The device layer has a source region and a drain region separated by a device material. The first dielectric layer has an embedded gate structure abutting the device layer. One or more masking layers are selectively formed over the device layer to define a nanowire structure. The device layer is then selectively etched according to the one or more masking layers to form a nanowire structure at a position between the source region and the drain region. By forming the nanowire structure through a masking and etch process, the nanowire structure is automatically connected to the source and drain regions.
    Type: Application
    Filed: August 23, 2013
    Publication date: February 26, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Shao Liu, Fei-Lung Lai, Chun-Wen Cheng
  • Patent number: 8963125
    Abstract: Provided is an LED device which is capable of reducing the emission size without changing the size of an LED and is capable of switching the emission size arbitrarily. The LED device includes, on a substrate, a carrier control layer, a lower current confinement layer, an active layer, and an upper current confinement layer. A p-type electrode is provided on the upper current confinement layer. Two n-type electrodes are arranged on the carrier control layer so as to dispose the p-type electrode between the two n-type electrodes in an in-plane direction of the substrate.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: February 24, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yoshinobu Sekiguchi
  • Publication number: 20150041764
    Abstract: Semiconductor devices including a substrate (e.g., silicon substrate), a multi-layer structure disposed on a portion of the substrate, and at least one electrode disposed on the multi-layer structure and methods of manufacturing the same are provided. The multi-layer structure may include an active layer containing a Group III-V material and a current blocking layer disposed between the substrate and the active layer. The semiconductor device may further include a buffer layer disposed between the substrate and the active layer. In a case that the substrate is a p-type, the buffer layer may be an n-type material layer and the current blocking layer may be a p-type material layer. The current blocking layer may contain a Group III-V material. A mask layer having an opening may be disposed on the substrate so that the multi-layer structure may be disposed on the portion of the substrate exposed by the opening.
    Type: Application
    Filed: October 23, 2014
    Publication date: February 12, 2015
    Inventors: Sang-moon LEE, Young-jin CHO
  • Publication number: 20150041763
    Abstract: Embodiments of the present invention provide a method of forming carbon nanotube based semiconductor devices. The method includes creating a guiding structure in a substrate for forming a device; dispersing a plurality of carbon nanotubes inside the guiding structure, the plurality of carbon nanotubes having an orientation determined by the guiding structure; fixating the plurality of carbon nanotubes to the guiding structure; and forming one or more contacts to the device. Structure of the formed carbon nanotube device is also provided.
    Type: Application
    Filed: August 7, 2013
    Publication date: February 12, 2015
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Chandrasekhar Narayan, Gregory Allen Northrop, Carl John Radens, Brian Christopher Sapp
  • Patent number: 8952352
    Abstract: A III-nitride semiconductor device which includes a barrier body between the gate electrode and the gate dielectric thereof.
    Type: Grant
    Filed: May 23, 2013
    Date of Patent: February 10, 2015
    Assignee: International Rectifier Corporation
    Inventors: Robert Beach, Zhi He, Jianjun Cao
  • Patent number: 8952355
    Abstract: Embodiments of the present invention provide methods for forming layers that comprise electropositive metals through ALD (atomic layer deposition) and or CVD (chemical vapor deposition) processes, layers comprising one or more electropositive metals, and semiconductor devices comprising layers comprising one or more electropositive metals. In embodiments of the invention, the layers are thin or ultrathin (films that are less than 100 {acute over (?)} thick) and or conformal films. Additionally provided are transistor devices, metal interconnects, and computing devices comprising metal layers comprising one or more electropositive metals.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: February 10, 2015
    Assignee: Intel Corporation
    Inventors: Patricio E. Romero, Scott B. Clendenning
  • Publication number: 20150034905
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming a substrate structure, wherein the substrate structure includes a substrate and a fin-shaped barrier layer formed on a surface of the substrate; forming a quantum well (QW) material layer on a surface of the fin-shaped barrier layer; and forming a barrier material layer on the QW material layer.
    Type: Application
    Filed: April 29, 2014
    Publication date: February 5, 2015
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: De Yuan XIAO