With Lightly Doped Portion Of Drain Region Adjacent Channel (e.g., Ldd Structure) Patents (Class 257/344)
  • Publication number: 20080173941
    Abstract: A semiconductor integrated circuit device comprising a semiconductor substrate, e.g., silicon wafer, silicon on insulator. The device has a dielectric layer overlying the semiconductor substrate and a gate structure overlying the dielectric layer. The device also has a channel region within a portion of the semiconductor substrate within a vicinity of the gate structure and a lightly doped source/drain regions in the semiconductor substrate to from diffused pocket regions underlying portions of the gate structure. The device has sidewall spacers on edges of the gate structure. The device also has an etched source region and an etched drain region. Each of the first source region and the first drain region is characterized by a recessed region having substantially vertical walls, a bottom region, and rounded corner regions connecting the vertical walls to the bottom region.
    Type: Application
    Filed: February 24, 2007
    Publication date: July 24, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Bei Zhu, Paolo Bonfanti, Hanming Wu, Da Wei Gao, John Chen
  • Patent number: 7400018
    Abstract: A method for incorporating carbon into a wafer at the interstitial a-c silicon interface of the halo doping profile is achieved. A bulk silicon substrate is provided. A carbon-doped silicon layer is deposited on the bulk silicon substrate. An epitaxial silicon layer is grown overlying the carbon-doped silicon layer to provide a starting wafer for the integrated circuit device fabrication. An integrated circuit device is fabricated on the starting wafer by the following steps. A gate electrode is formed on the starting wafer. LDD and source and drain regions are implanted in the starting wafer adjacent to the gate electrode.
    Type: Grant
    Filed: August 7, 2006
    Date of Patent: July 15, 2008
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chung Foong Tan, Jinping Liu, Hyeok Jae Lee, Bangun Indajang, Eng Fong Chor, Shiang Yang Ong
  • Patent number: 7396717
    Abstract: A method of forming a MOS transistor, in which a co-implantation is performed to implant an implant into a source region and a drain region or a halo implanted region to effectively prevent dopants from over diffusion in the source region and the drain region or the halo implanted region, for obtaining a good junction profile and improving short channel effect. The implant comprises carbon, a hydrocarbon, or a derivative of the hydrocarbon, such as one selected from a group consisting of C, Chd xHy+, and (CxHy)n+, wherein x is a number of 1 to 10, y is a number of 4 to 20, and n is a number of 1 to 1000.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: July 8, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Hsiang-Ying Wang, Chin-Cheng Chien, Tsai-Fu Hsiao, Ming-Yen Chien, Chao-Chun Chen
  • Publication number: 20080150023
    Abstract: In the semiconductor memory of the present invention, the impurity concentration of the high-doped region in the drain region is lower than that of the high-doped region in the source region. The drain region having a lower impurity concentration suppresses the GIDL leakage. The source region having a higher impurity concentration suppresses the leakage of stored charge to between the body and the source region. As a result, the semiconductor memory is enabled to have a memory cell with excellent data holding characteristic.
    Type: Application
    Filed: December 18, 2007
    Publication date: June 26, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Mika Nishisaka
  • Publication number: 20080142885
    Abstract: A semiconductor device includes a gate, extension layers, source drain layers, and silicide layers. The gate is formed on one of a n-type semiconductor substrate and a n-type through a gate insulation film. The extension layers are p-type semiconductors and formed under sidewalls which are formed on both sides of the gate. The source drain layers are p-type semiconductors and formed in contact with the outsides of the extension layers. The silicide layers are formed on surface regions of the source drain layers. The extension layers include inhibitor elements which inhibit p-type impurity diffusion in the extension layers. The silicide layers do not substantially include the inhibitor elements.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 19, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Akira MINEJI
  • Publication number: 20080142884
    Abstract: Embodiments relate to a semiconductor device, and to a semiconductor device and a method for manufacture that may improve a performance of a MOSFET device. According to embodiments, a semiconductor device may include a gate pattern formed of a gate dielectric layer formed in an active area of a semiconductor substrate and a first gate electrode pattern formed on the gate dielectric layer, an oxide pattern formed at both sides of the first gate electrode pattern, and a second gate electrode pattern formed on the first gate electrode pattern including the oxide pattern, a lightly doping drain (LDD) area formed in the inside of the substrate of the lower area of the oxide pattern, a spacer formed on both side-walls of the gate pattern, source/drain areas formed on the surface of the substrate of both sides of the gate pattern including the spacer, and a salicide film formed in the gate pattern and the source/drain areas.
    Type: Application
    Filed: November 27, 2007
    Publication date: June 19, 2008
    Inventor: Yong-Soo Cho
  • Patent number: 7388228
    Abstract: Thin film transistors for a display device each include a semiconductor layer made of polysilicon having a channel region, drain and source regions at both sides of the channel region and doped with impurity of high concentration, and an LDD region arranged either between the drain region and the channel region or between the source region and the channel region and doped with impurity of low concentration. An insulation film is formed over an upper surface of the semiconductor layer and has a film thickness which decreases in a step-like manner as it extends to the channel region, the LDD region, the drain and the source regions; and a gate electrode is formed over the channel region through the insulation film. Such a constitution can enhance the numerical aperture and can suppress the magnitude of stepped portions in a periphery of the thin film transistor.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: June 17, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Hideo Tanabe, Shigeo Shimomura, Makoto Ohkura, Masaaki Kurita, Yasukazu Kimura, Takao Nakamura
  • Patent number: 7382021
    Abstract: A transistor includes one or more channel taps containing a stack consisting at least in part of a semiconductor an interfacial III-VI layered compound and a conductor. The III-VI compound consists primarily of atoms from Groups IIIA-B and from Group VIA of the Periodic Table of the Elements in an approximate 1:1 ratio. These materials may be formed as layers of covalently bonded elements from Groups IIIA-B and covalently bonded Group VIA elements, adjacent and respective planes of which may be bonded by Van der Waals forces (e.g., to form a single bilayer consisting of a single plane of atoms from Groups IIIA-B and a single plane of Group VIA atoms). One particular III-VI material from which the interfacial layer is made, especially for p-channel transistors, is GaSe. Other III-VI compounds, whether pure compounds or alloys of pure compounds, may also be used.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: June 3, 2008
    Assignee: Acorn Technologies, Inc.
    Inventors: Carl Faulkner, Daniel J. Connelly, Daniel E. Grupp
  • Patent number: 7365390
    Abstract: Provided is a method of fabricating a recess transistor in an integrated circuit device. In the provided method, a device isolation region, which contacts to the sidewall of a gate trench and a substrate region remaining between the sidewall of the device isolation region and the sidewall of the gate trench, is etched to expose the remaining substrate region. Thereafter, the exposed portion of the remaining substrate region is removed to form a substantially flat bottom of the gate trench. The recess transistor manufactured by the provided method has the same channel length regardless of the locations of the recess transistor in an active region.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ji-Young Kim
  • Patent number: 7365393
    Abstract: This invention provides a semiconductor device having high operation performance and high reliability. An LDD region 707 overlapping with a gate wiring is arranged in an n-channel TFT 802 forming a driving circuit, and a TFT structure highly resistant to hot carrier injection is achieved. LDD regions 717, 718, 719 and 720 not overlapping with a gate wiring are arranged in an n-channel TFT 804 forming a pixel unit. As a result, a TFT structure having a small OFF current value is achieved. In this instance, an element belonging to the Group 15 of the Periodic Table exists in a higher concentration in the LDD region 707 than in the LDD regions 717, 718, 719 and 720.
    Type: Grant
    Filed: September 21, 2004
    Date of Patent: April 29, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Satoshi Murakami, Jun Koyama, Yukio Tanaka, Hidehito Kitakado, Hideto Ohnumo
  • Patent number: 7365402
    Abstract: An LDMOS semiconductor transistor structure comprises a substrate having an epitaxial layer of a first conductivity type, a source region extending from a surface of the epitaxial layer of a second conductivity type, a lightly doped drain region within the epitaxial layer of a second conductivity type, a channel located between the drain and source regions, and a gate arranged above the channel within an insulating layer, wherein the lightly doped drain region comprises an implant region of the first conductivity type extending from the surface of the epitaxial layer into the epitaxial layer covering an end portion of the lightly doped drain region next to the gate.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: April 29, 2008
    Assignee: Infineon Technologies AG
    Inventor: Gordon Ma
  • Patent number: 7355245
    Abstract: A field effect transistor (FET) device includes a gate conductor formed over a semiconductor substrate, a source region having a source extension that overlaps and extends under the gate conductor, and a drain region having a drain extension that overlaps and extends under the gate conductor only at selected locations along the width of the gate conductor.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: April 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Oleg Gluschenkov
  • Publication number: 20080073715
    Abstract: A method of manufacturing a semiconductor device including at least one step of: forming a transistor on and/or over a semiconductor substrate; forming silicide on and/or over a gate electrode and a source/drain region of the transistor; removing an uppermost oxide film from a spacer of the transistor; and forming a contact stop layer on and/or over the entire surface of the substrate including the gate electrode.
    Type: Application
    Filed: September 7, 2007
    Publication date: March 27, 2008
    Inventor: Jin-Ha Park
  • Patent number: 7348631
    Abstract: A thin film transistor substrate is provided whose structure allows for the formation of (i) a thick gate insulating film, (ii) a high pressure resistance TFT having a LDD region of a GOLD structure, and (iii) a low voltage TFT having a thin gate insulating film, with less number of production steps.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: March 25, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Kazushige Hotta
  • Publication number: 20080067589
    Abstract: According to one exemplary embodiment, a transistor includes a source and a drain separated by a channel. The transistor further includes a gate dielectric layer situated over the channel. The channel is situated in a well formed in a substrate. A pocket implant is not formed between the source and the drain so as to reduce dopant fluctuation in the channel, thereby reducing transistor mismatch. According to this exemplary embodiment, an LDD implant is not formed between the source and the drain so as to further reduce the dopant fluctuation in the channel.
    Type: Application
    Filed: September 20, 2006
    Publication date: March 20, 2008
    Inventors: Akira Ito, Henry Kuoshun Chen, Guang-Jye Shiau
  • Publication number: 20080054356
    Abstract: Under a sidewall formed over a side wall of a gate electrode, a low-concentration LDD region and a high-concentration LDD region which is extremely shallow and apart from a region under the gate electrode are formed. Further, a source/drain region is formed outside these LDD regions. Since the extremely shallow high-concentration LDD region is formed under the sidewall, even if hot carriers are accumulated in the sidewall, depletion due to the hot carriers can be suppressed. Further, since the high-concentration LDD region is formed apart from a region under the gate electrode, a transverse electric field in the channel is sufficiently relaxed, so that characteristic deterioration due to a threshold shift can be suppressed.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 6, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Eiji Yoshida
  • Patent number: 7339230
    Abstract: Embodiments herein present a structure, method, etc. for making high density MOSFET circuits with different height contact lines. The MOSFET circuits include a contact line, a first gate layer situated proximate the contact line, and at least one subsequent gate layer situated over the first gate layer. The contact line includes a height that is less than a combined height of the first gate layer and the subsequent gate layer(s). The MOSFET circuits further include gate spacers situated proximate the gate layers and a single contact line spacer situated proximate the contact line. The gate spacers are taller and thicker than the contact line spacer.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: March 4, 2008
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 7339234
    Abstract: An LDMOS transistor includes a gate insulation film formed on a semiconductor substrate, a gate electrode formed on the gate insulation film, a drain well of a first conductivity type formed in the substrate so as to include a gate region covered with the gate electrode, a channel well of a second conductivity type formed in the drain well in a partially overlapped relationship with the gate region, a source region of the first conductivity type formed in the channel well in an overlapping manner or adjacent with a side surface of the gate electrode, a medium-concentration drain region of the first conductivity type having an intermediate concentration level and formed in the drain well at a side opposing to the source region in a manner partially overlapping with the gate region, the medium-concentration drain region being formed with a separation from the channel well, a drain region of the first conductivity type formed in the medium-concentration drain region with a separation from the gate region, a low
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: March 4, 2008
    Assignee: Ricoh Company, Ltd.
    Inventor: Keiji Fujimoto
  • Publication number: 20080042198
    Abstract: Embodiments relate to a Drain Extended Metal-Oxide-Semiconductor (DEMOS) structure in which a drain region may be longer than a source region. In embodiments, the DEMOS may include a gate insulating film and a gate electrode sequentially layered over a semiconductor substrate, a spacer formed at a sidewall of a gate electrode toward the source region, an insulating film pattern formed at a sidewall of the gate electrode toward the drain region to provide a great spacing between the gate electrode and the drain region, the source region formed in the substrate to be in alignment with an edge of the spacer, and the drain region formed in the substrate to be in alignment with an edge of the insulating film pattern. The spacer and the insulating film pattern may be silicon oxide films.
    Type: Application
    Filed: August 16, 2007
    Publication date: February 21, 2008
    Inventor: Chul-Jin Yoon
  • Publication number: 20080042197
    Abstract: A high voltage field effect transistor according to the present invention has: a p-type low concentration drain region and a low concentration source region formed on both sides of a channel formation region within a n-type region of a semiconductor substrate; a high concentration drain region formed in the low concentration drain region, an impurity concentration of which is higher than that of the low concentration drain region; a gate insulating film that at least covers a surface of the channel formation region; a field oxide film formed on the low concentration drain region so as to be in contact with an end section of the gate insulating film; a gate electrode formed on said gate insulating film and at least a part of said field oxide film so as to cover an entire channel formation region and an end section of said low concentration drain region; and a non-oxide region of the low concentration drain region, on both sides of which there are the gate electrode and the high concentration drain region, and
    Type: Application
    Filed: June 29, 2007
    Publication date: February 21, 2008
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventors: Masashi Yamagishi, Toshihiro Honma
  • Patent number: 7329937
    Abstract: A semiconductor structure and a method for forming the same. The structure includes (a) a semiconductor channel region, (b) a semiconductor source block in direct physical contact with the semiconductor channel region; (c) a source contact region in direct physical contact with the semiconductor source block, wherein the source contact region comprises a first electrically conducting material, and wherein the semiconductor source block physically isolates the source contact region from the semiconductor channel region, and (d) a drain contact region in direct physical contact with the semiconductor channel region, wherein the semiconductor channel region is disposed between the semiconductor source block and the drain contact region, and wherein the drain contact region comprises a second electrically conducting material; and (e) a gate stack in direct physical contact with the semiconductor channel region.
    Type: Grant
    Filed: April 27, 2005
    Date of Patent: February 12, 2008
    Assignee: International Business Machines Corporation
    Inventor: Edward J. Nowak
  • Patent number: 7329571
    Abstract: By combining a plurality of stress inducing mechanisms in each of different types of transistors, a significant performance gain may be obtained, thereby providing enhanced flexibility in adjusting product specific characteristics. For this purpose, sidewall spacers with high tensile stress may be commonly formed on PMOS and NMOS transistors, wherein a deleterious effect on the PMOS transistor may be compensated for by a corresponding compressively stressed contact etch stop layer, while the NMOS transistor comprises a contact etch stop layer with tensile stress. Furthermore, the PMOS transistor comprises an embedded strained semiconductor layer for efficiently creating compressive strain in the channel region.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: February 12, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jan Hoentschel, Andy Wei, Manfred Horstmann, Thorsten Kammler
  • Patent number: 7326975
    Abstract: In a method of manufacturing a buried channel type transistor, a trench is formed at a surface portion of a substrate. A first and a second threshold voltage control regions are formed at portions of the substrate beneath a bottom face of the trench and adjacent to a sidewall of the trench, respectively. A gate electrode filling the trench is formed. Source/drain regions are formed at portions of the substrate adjacent to the sidewall of the gate electrode. Stopper regions are formed at portions of the substrate beneath the source/drain regions and beneath the first and second threshold voltage control regions, respectively. The buried channel type transistor has a high breakdown voltage between the source/drain regions although a threshold voltage thereof is low.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: February 5, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Young Kim, Chang-Sub Lee
  • Publication number: 20080017921
    Abstract: A high voltage semiconductor deice and a manufacturing method thereof are provided. The high voltage semiconductor device comprises: second conductive type drift regions disposed spaced from each other on a first conductive type well region formed on a first conductive type semiconductor substrate; a gate electrode on a channel region between the second conductive type drift regions with a gate insulating film disposed therebetween; second conductive type high-concentration source and drain each disposed in the second conductive type drift regions, spaced from a side of a gate electrode; a gate spacer having a spacer part covering the side of the gate electrode and a spacer extending part to cover a spaced portion of the second conductive type high-concentration source and drain from the side of the gate electrode; and a silicide formed on the gate electrode and the second conductive type high-concentration source and drain.
    Type: Application
    Filed: July 19, 2007
    Publication date: January 24, 2008
    Inventor: JIN HYO JUNG
  • Patent number: 7316957
    Abstract: A semiconductor device and a method for manufacturing the same are provided. A gate insulating film is formed under a vacuum condition to prevent deterioration of reliability of the device due to degradation of a gate insulating material and to have stable operating characteristics. The semiconductor device includes an element isolating film formed at element isolating regions of a semiconductor substrate, which is divided into active regions and the element isolating regions; a gate insulating film having openings with a designated width formed at the active regions of the semiconductor substrate; gate electrodes formed on the gate insulating film; and lightly doped drain regions and source/drain impurity regions formed in the surface of the semiconductor substrate at both sides of the gate electrodes.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: January 8, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Dong Joon Lee
  • Patent number: 7312500
    Abstract: An ideal step-profile in a channel region is realized easily and reliably, whereby suppression of the short-channel effect and prevention of mobility degradation are achieved together. A silicon substrate is amorphized to a predetermined depth from a semiconductor film, and impurities to become the source/drain are introduced in this state. Then the impurities are activated, and the amorphized portion is recrystallized, by low temperature solid-phase epitaxial regrowth. With the processing temperature required for the low temperature solid-phase epitaxial regrowth being within a range of 450° C.-650° C., thermal diffusion of the impurities into the semiconductor film is suppressed, thereby maintaining the initial steep step-profile.
    Type: Grant
    Filed: April 18, 2007
    Date of Patent: December 25, 2007
    Assignee: Fujitsu Limited
    Inventors: Toshihiko Miyashita, Kunihiro Suzuki
  • Patent number: 7304350
    Abstract: A semiconductor device has a well region having a first conductivity type and formed in an upper portion of a semiconductor substrate, a gate insulating film and a gate electrode formed successively on the well region of the semiconductor substrate, a threshold voltage control layer for controlling a threshold voltage formed in the portion of the well region which is located below the gate electrode and in which an impurity of the first conductivity type has a concentration peak at a position shallower than in the well region, an extension region having a second conductivity type and formed in the well region to be located between each of the respective portions of the well region which are located below the both end portions in the gate-length direction of the gate electrode and the threshold voltage control layer, and source and drain regions each having the second conductivity type and formed outside the extension layer in connected relation thereto.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: December 4, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Makoto Misaki
  • Patent number: 7301219
    Abstract: An asymmetrically doped memory cell has first and second N+ doped junctions on a P substrate. A composite charge trapping layer is disposed over the P substrate and between the first and the second N+ doped junctions. A N? doped region is positioned adjacent to the first N+ doped junction and under the composite charge trapping layer. A P? doped region is positioned adjacent to the second N+ doped junction and under the composite charge trapping layer. The asymmetrically doped memory cell will store charges at the end of the composite charge trapping layer that is above the P? doped region. The asymmetrically doped memory cell can function as an electrically erasable programmable read only memory cell, and is capable of multiple level cell operations. A method for making an asymmetrically doped memory cell is also described.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: November 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Tzu-Hsuan Hsu, Yen-Hao Shih, Ming-Hsiu Lee
  • Publication number: 20070267694
    Abstract: A MOS device having optimized stress in the channel region and a method for forming the same are provided. The MOS device includes a gate over a substrate, a gate spacer on a sidewall of the gate wherein a non-silicide region exists under the gate spacer, a source/drain region comprising a recess in the substrate, and a silicide region on the source/drain region. A step height is formed between a higher portion of the silicide region and a lower portion of the silicide region. The recess is spaced apart from a respective edge of a non-silicide region by a spacing. The step height and the spacing preferably have a ratio of less than or equal to about 3. The width of the non-silicide region and the step height preferably have a ratio of less than or equal to about 3. The MOS device is preferably an NMOS device.
    Type: Application
    Filed: May 22, 2006
    Publication date: November 22, 2007
    Inventors: Chih-Hsin Ko, Chung-Hu Ke, Hung-Wei Chen, Wen-Chin Lee
  • Patent number: 7288814
    Abstract: A method for doping a polysilicon gate conductor, without implanting the substrate in a manner that would effect source/drain formation is provided. The inventive method comprises forming at least one polysilicon gate region atop a substrate; forming oxide seed spacers abutting the polysilicon gate; forming source/drain oxide spacers selectively deposited on the oxide seed spacers by liquid phase deposition, and implanting at least one polysilicon gate region, wherein the source/drain oxide spacers protect an underlying portion of the substrate. Multiple gate regions may be processed on a single substrate using conventional patterning. A block-mask provided by patterned photoresist can be used prior to implantation to pre-select the substrate area for gate conductor doping with one dopant type.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: October 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Anthony I. Chou, Toshiharu Furukawa, Steven J. Holmes
  • Patent number: 7288817
    Abstract: The present invention teaches a method of forming a MOSFET transistor having a silicide gate which is not subject to problems produced by etching a metal containing layer when forming the gate stack structure. A gate stack is formed over a semiconductor substrate comprising a gate oxide layer, a conducting layer, and a first insulating layer. Sidewall spacers are formed adjacent to the sides of the gate stack structure and a third insulating layer is formed over the gate stack and substrate. The third insulating layer and first insulating layer are removed to expose the conducting layer and, at least one unetched metal-containing layer is formed over and in contact with the conducting layer. The gate stack structure then undergoes a siliciding process with different variations to finally form a silicide gate.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: October 30, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Werner Juengling, Richard H. Lane
  • Patent number: 7279711
    Abstract: The present invention relates to a semiconductor device including a circuit composed of thin film transistors having a novel GOLD (Gate-Overlapped LDD (Lightly Doped Drain)) structure. The thin film transistor comprises a first gate electrode and a second electrode being in contact with the first gate electrode and a gate insulating film. Further, the LDD is formed by using the first gate electrode as a mask, and source and drain regions are formed by using the second gate electrode as the mask. Then, the LDD overlapping with the second gate electrode is formed. This structure provides the thin film transistor with high reliability.
    Type: Grant
    Filed: November 8, 1999
    Date of Patent: October 9, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hiroki Adachi
  • Patent number: 7279758
    Abstract: The present invention relates to a semiconductor device including at least one n-channel field effect transistor (n-FET). Specifically, the n-FET includes first and second patterned stressor layers that both contain a carbon-substituted and tensilely stressed single crystal semiconductor. The first patterned stressor layer has a first carbon concentration and is located in source and drain (S/D) extension regions of the n-FET at a first depth. The second patterned stressor layer has a second, higher carbon concentration and is located in S/D regions of the n-FET at a second, deeper depth. Such an n-FET with the first and second patterned stressor layers of different carbon concentration and different depths provide improved stress profile for enhancing electron mobility in the channel region of the n-FET.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: October 9, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jinghong H. Li, Yaocheng Liu, Zhijiong Luo, Anita Madan, Nivo Rovedo
  • Patent number: 7279741
    Abstract: A semiconductor device with an increased effective channel length and a method of manufacturing the same. The device includes a semiconductor substrate, a gate insulating layer disposed on the semiconductor substrate, a gate electrode structure disposed on a predetermined portion of the gate insulating layer, an insulating layer for preventing short channel disposed on the surface of the resultant structure where the gate electrode structure is disposed, and a source region and a drain region disposed in the semiconductor substrate on either side of the gate electrode structure. Both the source region and the drain region are spaced apart from the gate electrode structure by the thickness of the insulating layer. The channel length of a MOS transistor can be thereby increased.
    Type: Grant
    Filed: May 13, 2004
    Date of Patent: October 9, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Hyun Cho, Soo-Ho Shin, Yong-Gyu Choi, Tae-Young Chung
  • Patent number: 7271443
    Abstract: A semiconductor device includes a first diffusion region including germanium atoms and first impurity atoms, provided on a surface layer of a semiconductor substrate, the first impurity atoms contributing to electric conductivity, and a second diffusion region including second impurity atoms, provided shallower than the first diffusion region from a surface of the first diffusion region, the second impurity atoms not contributing to the electric conductivity.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: September 18, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masafumi Hamaguchi
  • Publication number: 20070210379
    Abstract: It is an object to reduce the effect of a characteristic of the edge portion of a channel forming region in a semiconductor film, on a transistor characteristic. An island-like semiconductor film is formed over a substrate, and a conductive film forming a gate electrode provided over the island-like semiconductor film with a gate insulating film interposed therebetween, is formed over the semiconductor film. In the semiconductor film, a channel forming region, a first impurity region forming a source or drain region, and a second impurity region are provided. The channel forming region is provided in a region which overlaps with the gate electrode crossing the island-like semiconductor film, the first impurity region is provided so as to be adjacent to the channel forming region, and the second impurity region is provided so as to be adjacent to the channel forming region and the first impurity region.
    Type: Application
    Filed: March 5, 2007
    Publication date: September 13, 2007
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hiromichi Godo
  • Patent number: 7268393
    Abstract: Semiconductor devices and methods of manufacturing semiconductor devices which achieve higher integration and higher operating speed are provided. A disclosed example semiconductor device includes a semiconductor substrate of a first conductivity type; a gate insulating layer on the substrate; and a gate on the gate insulating layer. The substrate also includes first spacers on opposite side walls of the gate. Each of the first spacers has a notch at a lower end adjacent the substrate. The example device also includes second spacers on side walls of respective ones of the first spacers; source/drain junction regions of a second conductivity type in the substrate on opposite sides of the gate and the second spacers; and LDD regions of the second conductivity type in the substrate at opposite sides of the gate and the first spacers. Each of the LDD regions has an end adjacent a respective one of the junction regions.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: September 11, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jea-Hee Kim
  • Patent number: 7265384
    Abstract: A thin film transistor (TFT) having a lightly doped drain (LDD) structure includes a lightly doped drain (LDD) region formation pattern, an active layer formed in an uneven structure on the LDD region formation pattern, and having a source region and a drain region having an LDD region. A gate electrode may be formed on a gate insulating layer, and source and drain electrodes are coupled to the source and drain regions.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: September 4, 2007
    Assignee: Samsung SDI Co., Ltd.
    Inventor: Sang-Hun Oh
  • Patent number: 7253481
    Abstract: A semiconductor device suffering fewer current crowding effects and a method of forming the same are provided. The semiconductor device includes a substrate, a gate over the substrate, a gate spacer along an edge of the gate and overlying a portion of the substrate, a diffusion region in the substrate wherein the diffusion region comprises a first portion and a second portion between the first portion and the gate spacer. The first portion of the diffusion region has a recessed top surface. The semiconductor device further includes a silicide layer on the diffusion region, and a cap layer over at least the silicide layer. The cap layer provides a strain to the channel region of the semiconductor device.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hao Wang, Ta-Wei Wang, Ching-Wei Tsai
  • Patent number: 7253482
    Abstract: A field effect transistor (FET) device includes a gate conductor formed over a semiconductor substrate, a source region having a source extension that overlaps and extends under the gate conductor, and a drain region having a drain extension that overlaps and extends under the gate conductor only at selected locations along the width of the gate conductor.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: August 7, 2007
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Oleg Gluschenkov
  • Patent number: 7253478
    Abstract: The semiconductor device comprises: a semiconductor substrate (N+ substrate 110) containing a first conductivity type impurity implanted therein; a second conductivity type impurity-implanted layer (P+ implanted layer 114) at relatively high concentration, formed on the semiconductor substrate (N+ substrate 110); a second conductivity type impurity epitaxial layer (P? epitaxial layer 111) at relatively low concentration, formed on the second conductivity type impurity-implanted layer (P+ implanted layer 114); and a field effect transistor 100 (N-channel type lateral MOSFET 100) composed of a pair of impurity diffusion regions (N+ source diffusion layer 115 and N? drain layer 116) provided in the second conductivity type impurity epitaxial layer (P? epitaxial layer 111) and a gate electrode 117 provided over a region sandwiched with the pair of impurity diffusion regions (N+ source diffusion layer 115 and N? drain layer 116).
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: August 7, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Shigeki Tsubaki
  • Patent number: 7247919
    Abstract: An integrated circuit which provides a FET device having reduced GIDL current is described. A semiconductor substrate is provided wherein active regions are separated by an isolation region and a gate oxide layer is provided on the active regions. A gate electrode is provided upon the gate oxide layer wherein beneath an edge of the gate electrode, a gate-drain overlap region having a high dose ion implant is provided.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: July 24, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Chandra V. Mouli, Ceredig Roberts
  • Patent number: 7242063
    Abstract: A technique for and structures for camouflaging an integrated circuit structure. The technique including forming active areas of a first conductivity type and LDD regions of a second conductivity type resulting in a transistor that is always non-operational when standard voltages are applied to the device.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: July 10, 2007
    Assignee: HRL Laboratories, LLC
    Inventors: Lap-Wai Chow, William M. Clark, Jr., Gavin J. Harbison, Paul Ou Yang
  • Patent number: 7235810
    Abstract: There is provided a crystalline TFT in which reliability comparable to or superior to a MOS transistor can be obtained and excellent characteristics can be obtained in both an on state and an off state. A gate electrode of the crystalline TFT is formed of a laminate structure of a first gate electrode made of a semiconductor material and a second gate electrode made of a metal material. An n-channel TFT includes an LDD region, and a region overlapping with the gate electrode and a region not overlapping with the gate electrode are provided, so that a high electric field in the vicinity of a drain is relieved, and at the same time, an increase of an off current is prevented.
    Type: Grant
    Filed: December 3, 1999
    Date of Patent: June 26, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hisashi Ohtani, Hideomi Suzawa, Toru Takayama
  • Patent number: 7226843
    Abstract: A method including forming a transistor device having a channel region; implanting a first halo into the channel region; and implanting a second different halo into the channel region. An apparatus including a gate electrode formed on a substrate; a channel region formed in the substrate below the gate electrode and between contact points; a first halo implant comprising a first species in the channel region; and a second halo implant including a different second species in the channel region.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: June 5, 2007
    Assignee: Intel Corporation
    Inventors: Cory E. Weber, Gerhard Schrom, Ian R. Post, Mark A. Stettler
  • Patent number: 7224029
    Abstract: Disclosed is a structure and method for producing a fin-type field effect transistor (FinFET) that has a buried oxide layer over a substrate, at least one first fin structure and at least one second fin structure positioned on the buried oxide layer. First spacers are adjacent the first fin structure and second spacers are adjacent the second fin structure. The first spacers cover a larger portion of the first fin structure when compared to the portion of the second fin structure covered by the second spacers. Those fins that have larger spacers will receive a smaller area of semiconductor doping and those fins that have smaller spacers will receive a larger area of semiconductor doping. Therefore, there is a difference in doping between the first fins and the second fins that is caused by the differently sized spacers. The difference in doping between the first fins and the second fins changes an effective width of the second fins when compared to the first fins.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: May 29, 2007
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak, Jed H. Rankin
  • Patent number: 7224028
    Abstract: In the fabrication of semiconductor devices such as active matrix displays, the need to pattern resist masks in photolithography increases the number of steps in the fabrication process and the time required to complete them and consequently represents a substantial cost. This invention provides a method for forming an impurity region in a semiconductor layer 303 by doping an impurity element into the semiconductor layer self-aligningly using as a mask the upper layer (a second conducting film 306) of a gate electrode formed in two layers. The impurity element is doped into the semiconductor layer through the lower layer of the gate electrode (a first conducting film 305), and through a gate insulating film 304. By this means, an LDD region 313 of a GOLD structure is formed in the semiconductor layer 303.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: May 29, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideomi Suzawa, Koji Ono, Toru Takayama
  • Patent number: 7221006
    Abstract: A semiconductor device (101) is provided herein which comprises a substrate (103) comprising germanium. The substrate has source (107) and drain (109) regions defined therein. A barrier layer (111) comprising a first material that has a higher bandgap (Eg) than germanium is disposed at the boundary of at least one of said source and drain regions. At least one of the source and drain regions comprises germanium.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: May 22, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Marius Orlowski, Sinan Goktepeli, Chun-Li Liu
  • Patent number: 7221021
    Abstract: A high voltage device with retrograde well is disclosed. The device comprises a substrate, a gate region formed on the substrate, and a retrograde well placed in the substrate next to the gate region, wherein the retrograde well reduces a dopant concentration on the surface of the substrate, thereby minimizing damages to the gate region.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: May 22, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Ming Wu, Chen-Bau Wu, Ruey-Hsin Liu, Shun-Liang Hsu
  • Patent number: 7217977
    Abstract: A technique for and structures for camouflaging an integrated circuit structure. The technique includes the use of a light density dopant (LDD) region of opposite type from the active regions resulting in a transistor that is always off when standard voltages are applied to the device.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: May 15, 2007
    Assignee: HRL Laboratories, LLC
    Inventors: Lap-Wai Chow, William M. Clark, Jr., James P. Baukus