With Lightly Doped Portion Of Drain Region Adjacent Channel (e.g., Ldd Structure) Patents (Class 257/344)
  • Patent number: 7060580
    Abstract: Provided are a field effect transistor and a method of fabricating the same, wherein the field effect transistor is formed which has a hyperfine channel length by employing a technique for forming a sidewall spacer and adjusting the deposition thickness of a thin film. In the field effect transistor of the present invention, a source junction and a drain junction are thin, and the overlap between the source and the gate and between the drain and the gate is prevented, thereby lowering parasitic resistance. Further, the gate electric field is easily introduced to the drain extending region, so that the carrier concentration is effectively controlled in the channel at the drain. Also, the drain extending region is formed to be thinner than the source, so that the short channel characteristic is excellent.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: June 13, 2006
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Won-ju Cho, Chang-geun Ahn, Ki-ju Im, Jong-heon Yang, In-bok Baek, Seong-jae Lee
  • Patent number: 7061057
    Abstract: Reduced source resistance is realized in a laterally diffused MOS transistor by fabricating the transistor in a P-doped epitaxial layer on an N-doped semiconductor substrate and using a trench contact for ohmically connecting the N-doped source region to the N-doped substrate.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: June 13, 2006
    Assignee: Cree Microwave, LLC
    Inventors: Jeff Babcock, Johan Agus Darmawan, John Mason
  • Patent number: 7057237
    Abstract: A method is described for forming three or more spacer widths in transistor regions on a substrate. In one embodiment, different silicon nitride thicknesses are formed above gate electrodes followed by nitride etching to form spacers. Optionally, different gate electrode thicknesses may be fabricated and a conformal oxide layer is deposited which is subsequently etched to form different oxide spacer widths. A third embodiment involves a combination of different gate electrode thickness and different nitride thicknesses. A fourth embodiment involves selectively thinning an oxide layer over certain gate electrodes before etching to form spacers. Therefore, spacer widths can be independently optimized for different transistor regions on a substrate to enable better drive current in transistors with narrow spacers and improved SCE control in neighboring transistors with wider spacers. Better drive current is also obtained in transistors with shorter polysilicon thickness.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: June 6, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Howard Chih Hao Wang, Chenming Hu, Chun-Chieh Lin
  • Patent number: 7057236
    Abstract: After forming a gate electrode on a semiconductor substrate, ion implantation is performed on the semiconductor substrate by using the gate electrode as a mask to form low concentration impurity regions, and thereafter first sidewall insulating films are formed on the side surfaces of the gate electrode. Next, by using the gate electrode and the first sidewall insulating films as a mask, ion implantation is performed on the semiconductor substrate to form high concentration impurity regions, and thereafter second sidewall insulating films are formed on the side surfaces of the first sidewall insulating films. After that, by using each sidewall insulating film as a mask, metal silicide layers are selectively formed on each surface of the semiconductor substrate and the gate electrode.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: June 6, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takayuki Yamada, Isao Miyanaga
  • Patent number: 7053450
    Abstract: A MISFET in a semiconductor device has a gate insulating film provided on a substrate, a gate electrode provided on the gate insulating film, sidewalls provided on the side surfaces of the gate electrode, lightly doped diffusion layers provided in the respective regions of the substrate located below the edge portions of the gate electrodes, heavily doped diffusion layers provided in the respective regions of the substrate located laterally below the gate electrode and the sidewalls, and pocket diffusion layers covering the lower portions of the lightly doped diffusion layers and parts of the side surfaces thereof in overlapping relation with each other below the gate electrode. Impurity concentrations in the pocket diffusion layers are set such that the threshold of the MISFET has a desired value.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: May 30, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoki Kotani
  • Patent number: 7049659
    Abstract: A method of manufacturing a semiconductor device having a first and second transistor of an ESD protection and internal circuit respectively. The method includes the steps of providing a substrate, forming gates of the first and second transistor on the substrate, depositing a mask layer and patterning the mask layer using one single mask to remove the mask layer on the gates, a portion of a drain region of the first transistor, and a source and drain region of the second transistor, implementing ESD implantation under the regions without the patterned mask layer, removing the mask layer and forming sidewall spacers of the gates, and implementing drain diffusion.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: May 23, 2006
    Assignee: Silicon Intergrated Systems Corp.
    Inventors: Ming-Dou Ker, Hsin-Chyh Hsu, Wen-Yu Lo
  • Patent number: 7042050
    Abstract: A semiconductor device includes a gate insulating film which is formed on the major surface of a semiconductor substrate, a gate electrode which is formed on the gate insulating film, a first offset-spacer which is formed in contact with one side surface of the gate electrode, a first spacer which is formed in contact with the other side surface of the gate electrode, a second spacer which is formed in contact with the first offset-spacer, and source and drain regions which are formed apart from each other in the major surface of the semiconductor substrate below the first and second spacers so as to sandwich the gate electrode and the first offset-spacer. The source region is formed at a position deeper than the drain region. The dopant concentration of the source region is higher than that of the drain region.
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: May 9, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideji Tsujii
  • Patent number: 7042051
    Abstract: Provided is a manufacturing method of a semiconductor device which comprises forming, all over the surface of a substrate below the channel region of a MISFET, a p type impurity layer having a first peak in impurity concentration distribution and another p type impurity layer having a second peak in impurity concentration distribution, each layer having a function of preventing punch-through. Compared with a device having a punch through stopper layer of a pocket structure, the device of the present invention is suppressed in fluctuations in the threshold voltage. Moreover, with a relative increase in the controllable width of a depletion layer, a sub-threshold swing becomes small, thereby making it possible to prevent lowering of the threshold voltage and to improve a switching rate of the MISFET.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: May 9, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Fumio Ootsuka, Takahiro Onai, Kazuhiro Ohnishi, Shoji Wakahara
  • Patent number: 7038274
    Abstract: A voltage regulator having an input terminal and an output terminal. A PMOS transistor connects the input terminal to an intermediate terminal. The PMOS transistor includes a first gate oxide layer. An LDMOS transistor connects the intermediate terminal to ground. The LDMOS transistor includes a second gate oxide layer. A controller drives the PMOS transistor and the LDMOS transistor to alternately couple the intermediate terminal between the input terminal and ground, to generate an intermediate voltage at the intermediate terminal having a rectangular waveform. A filter is disposed between the intermediate terminal and the output terminal to convert the rectangular waveform into a substantially DC voltage at the output terminal.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: May 2, 2006
    Assignee: Volterra Semiconductor Corporation
    Inventors: Budong You, Marco A. Zuniga, Andrew J. Burstein
  • Patent number: 7038258
    Abstract: The present invention provides a semiconductor device 200 having a localized halo implant 250 located therein, a method of manufacture therefore and an integrated circuit including the semiconductor device. In one embodiment, the semiconductor device 200 includes a gate 244 located over a substrate 210, the substrate 210 having a source and a drain 230 located therein. In the same embodiment, located adjacent each of the source and drain 230 are localized halo implants 250, each of the localized halo implants 250 having a vertical implant region 260 and an angled implant region 265. Further, at an intersection of the vertical implant region 260 and the angled implant region 265 is an area of peak concentration.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: May 2, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Kaiping Liu, Zhiqiang Wu
  • Patent number: 7033897
    Abstract: The present invention pertains to formation of a transistor in a manner that mitigates parasitic capacitance, thereby facilitating, inter alia, enhanced switching speeds. More particularly, a sidewall spacer formed upon a semiconductor substrate adjacent a conductive gate structure includes a material having a low dielectric constant (low-k) to mitigate parasitic capacitance between the gate structure, the sidewall spacer and a conductive drain formed within the semiconductor substrate. The low-k sidewall spacer is encapsulated within a nitride material which is selective to etchants such that the spacer is not altered during subsequent processing. The spacer thus retains its shape and remains effective to guide dopants into desired locations within the substrate.
    Type: Grant
    Filed: October 23, 2003
    Date of Patent: April 25, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Yuanning Chen, Antonio L. P. Rotondaro, Karen H. Kirmse
  • Patent number: 7034360
    Abstract: Provided is a high voltage transistor in a flash memory device comprising: a source/drain junction of a DDD structure consisting of a high-concentration impurity region and a low-concentration impurity region surrounding the high-concentration impurity region, the high-concentration impurity region being formed in parallel with a gate electrode at a distance spaced by a location in which a contact hole is formed, and having a rectangular shape whose width is the same as or wider than that of the contact hole and whose length is the same as or narrower than that of an active region through which the gate electrode passes. Accordingly, a current density to pass the gate electrode neighboring the contact hole portion and a current density to pass the gate electrode at a portion where the contact hole cannot be formed become uniform. A uniform and constant saturation current can be obtained regardless of the number of the contact hole.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 25, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Yong Wook Kim, Dong Kee Lee, Hee Hyun Chang
  • Patent number: 7030464
    Abstract: A technology of restraining junction leakage in a semiconductor device is to be provided. There is provided a semiconductor device provided with a semiconductor substrate, a gate electrode 9 formed on the semiconductor substrate, and a source/drain region formed beside the gate electrode, wherein the source/drain region 4 comprises a first impurity diffusion region including a first P-type impurity and located in the proximity of a surface of the semiconductor substrate, and a second P-type impurity diffusion region located below the first impurity diffusion region and including a second P-type impurity having a smaller diffusion coefficient in the semiconductor substrate than the first P-type impurity.
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: April 18, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Yuri Masuoka, Naohiko Kimizuka
  • Patent number: 7019356
    Abstract: The present invention provides for a memory device comprising a bulk substrate. A first lightly doped region is formed in the bulk substrate. A first active region is formed in the first lightly doped region. A second lightly doped region is formed in the bulk substrate. A second active region is formed in the second lightly doped region. A third active region is formed in the bulk substrate. An oxide layer is disposed outwardly from the bulk substrate and a floating gate layer is disposed outwardly from the oxide layer. In a particular aspect, a memory device is provided that is a single poly electrically erasable programmable read-only memory (EEPROM) with a drain or source electrode configured to remove negative charge from the gate and erase the EEPROM, without a separate erase region.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: March 28, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Jozef Mitros, Victor Ivanov
  • Patent number: 7019363
    Abstract: A method of fabricating an integrated circuit utilizes symmetric source/drain junctions. The process can be utilized for P-channel or N-channel metal oxide field semiconductor effect transistors (MOSFETS). The drain extension is deeper than the source extension. The source extension is more conductive than the drain extension. The transistor has reduced short channel effects and strong drive current and yet is reliable.
    Type: Grant
    Filed: January 3, 2000
    Date of Patent: March 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 7015554
    Abstract: Impurities for threshold voltage adjustment are implanted using a resist film and a protective dielectric as implantation masks from directions inclined at 10° through 30° with respect to the direction vertical to the principal surface of a semiconductor substrate 1 when viewed in cross section taken along the gate width direction. Thus, first low-concentration impurity implantation regions are formed to overlap each other in the central part of an active region for a memory cell MIS transistor Mtrs of an SRAM. Furthermore, after an isolation is formed, a second low-concentration impurity implantation region is formed in an active region for each of MIS transistors Ltr, Mtrs and Mtrl by implanting impurity ions without using implantation masks. The MIS transistors Ltr, Mtrs and Mtrl formed after the completion of the fabricating process have substantially the same threshold voltage.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: March 21, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroaki Nakaoka, Akio Sebe, Takayuki Yamada
  • Patent number: 7008831
    Abstract: A method of manufacturing a semiconductor device, including forming a gate insulating film on a P type semiconductor layer, forming on the gate insulating film a gate electrode having slits at, at least an end thereof on the drain electrode forming predeterminate side, selectively implanting an N type impurity into the P type semiconductor layer with the gate electrode as a mask, effecting heat treatment to activate the impurity and integrating impurity regions in which the impurity is implanted in the slits and portions outside the gate electrode, by transverse direction thereby to form a pair of N type low-density diffused layers that overlap, at least, on the drain electrode side of the gate electrode, and forming a pair of N type high-density diffused layers spaced away from the gate electrode.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: March 7, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Katsuhito Sasaki
  • Patent number: 7009264
    Abstract: A selective spacer to prevent metal oxide formation during polycide reoxidation of a feature such as an electrode and a method for forming the selective spacer are disclosed. A material such as a thin silicon nitride or an amorphous silicon film is selectively deposited on the electrode by limiting deposition time to a period less than an incubation time for the material on silicon dioxide near the electrode. The spacer is deposited only on the electrode and not on surrounding silicon dioxide. The spacer serves as a barrier for the electrode during subsequent oxidation to prevent metal oxide formation while allowing oxidation to take place over the silicon dioxide.
    Type: Grant
    Filed: July 30, 1997
    Date of Patent: March 7, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Klaus Florian Schuegraf, Scott Jeffrey DeBoer, Randhir P. S. Thakur
  • Patent number: 7009265
    Abstract: A field effect transistor (FET) has underlap regions adjacent to the channel doping region. The underlap regions have very low dopant concentrations of less than 1×1017/cc or 5×1016/cc and so tend to have a high resistance. The underlap regions reduce overlap capacitance and thereby increase switching speed. High resistance of the underlap regions is not problematic at subthreshold voltages because the channel doping region also has a high resistance at subthreshold voltages. Consequently, the present FET has low capacitance and high speed and is particularly well suited for operation in the subthreshold regime.
    Type: Grant
    Filed: June 11, 2004
    Date of Patent: March 7, 2006
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Andres Bryant, William F. Clark, Jr., Edward J. Nowak
  • Patent number: 7009248
    Abstract: A semiconductor device (1) has a source (2) a gate (3) and a drain (4), a single deep-pocket ion implant (8) in a source-drain depletion region, and a single shallow-pocket ion implant (9) in the source-drain depletion region.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: March 7, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yin-Pin Wang, Chin-Sheng Chang
  • Patent number: 7005710
    Abstract: A transistor structure includes an insulated conductive gate spacer or a conductive layer under a nonconductive spacer, together forming a composite spacer, which is contacted and driven separately from the conventional gate of the transistor. The gate spacer, conductive layer of a composite spacer or a portion or portions thereof serve as a control or controls for the transistors taking the form of a second gate or second and third gates for the transistors. The transistors may be used throughout an integrated circuit or it may be preferred to use the improved transistor only in critical speed paths of an integrated circuit. Delays within circuits including the improved transistors are reduced since the drain voltage can be higher than VCC and the BVDSS and subthreshold voltage are substantially higher than standard LDD transistors.
    Type: Grant
    Filed: August 16, 1999
    Date of Patent: February 28, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, David Kao
  • Patent number: 7005350
    Abstract: A three-dimensional flash memory array incorporates thin film transistors having a charge storage dielectric arranged in series-connected NAND strings to achieve a 4F2 memory cell layout. The memory array may be programmed and erased using only tunneling currents, and no leakage paths are formed through non-selected memory cells. Each NAND string includes two block select devices for respectively coupling one end of the NAND string to a global bit line, and the other end to a shared bias node. Pairs of NAND strings within a block share the same global bit line. The memory cells are preferably depletion mode SONOS devices, as are the block select devices. The memory cells may be programmed to a near depletion threshold voltage, and the block select devices are maintained in a programmed state having a near depletion mode threshold voltage. NAND strings on more than one layer may be connected to global bit lines on a single layer.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: February 28, 2006
    Assignee: Matrix Semiconductor, Inc.
    Inventors: Andrew J. Walker, En-Hsing Chen, Sucheta Nallamothu, Roy E. Scheuerlein, Alper Ilkbahar, Luca Fasoli, Igor Koutnetsov, Christopher Petti
  • Patent number: 7002208
    Abstract: A semiconductor device and a method for manufacturing the semiconductor device capable of reducing a short channel effect are provided. The semiconductor device is made up of a pair of impurity regions for a source and a drain formed on a semiconductor substrate, a gate having a gate electrode used to control a drain current and side walls formed on both sides of the gate electrode and a pair of electrode members formed on both sides of the semiconductor substrate and in a manner to be in contact with the side walls. As impurity regions, there are provided first impurity regions formed by thermal diffusion of impurities from each of the electrode members and second impurity regions each having a thickness being smaller than that of the first impurity region and extending below the gate electrode, which are formed by thermal diffusion of impurities from the side walls.
    Type: Grant
    Filed: July 2, 2001
    Date of Patent: February 21, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiroyuki Tanaka
  • Patent number: 6992354
    Abstract: A finFET (100) having sidwall spacers (136, 140) to suppress parasitic devices in the upper region of a channel and at the bases of source(s) and drain(s) that are artifacts of the fabrication techniques used to make the finFET. The FinFET is formed on an SOI wafer (104) by etching through a hardmask (148) so as to form a freestanding fin (120). Prior to doping the source(s) (124) and drain(s) (128), a layer (156) of thermal oxide is deposited over the entire finFET. This layer is etched away so as to form the sidewall spacers at each reentrant corner formed where a horizontal surface meets a vertical surface. Sidewall spacers (136) inhibit doping of the upper region of source(s) and drain(s) immediately adjacent the gate. Sidewall spacers (140) fill in any undercut regions (144) of BOX layer (116) that may have been formed during prior fabrication steps.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: January 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: Edward J. Nowak, BethAnn Rainey
  • Patent number: 6989567
    Abstract: A semiconductor transistor structure includes a substrate having an epitaxial layer, a source region extending from the surface of the epitaxial layer, a drain region within the epitaxial layer, a channel located between the drain and source regions, and a gate arranged above the channel. The drain region includes a first region for establishing a contact with an electrode, a second region being less doped than the first region being buried within the epitaxial layer and extending from the first region horizontally in direction towards the gate, a third region less doped than the second region and extending vertically from the surface of the epitaxial layer and horizontally from the second region until under the gate, a top layer extending from the surface of the epitaxial layer to the second region, and a bottom layer extending from the second region into the epitaxial layer.
    Type: Grant
    Filed: October 3, 2003
    Date of Patent: January 24, 2006
    Assignee: Infineon Technologies North America Corp.
    Inventors: Olof Tornblad, Gordon Ma
  • Patent number: 6979885
    Abstract: In a semiconductor substrate with a top surface, a PN junction between a first region of one conductivity type formed by masked diffusion into a semiconductor from the surface and a second region of opposite conductivity type formed into a first portion of the first region from the surface. The improvement comprising edges of the first region being spaced from associated edges of the second region such that the doping concentration of the first region at the surface intersection of corners of the junction between the first and second regions is lower than it is at some other location in the first region.
    Type: Grant
    Filed: February 6, 2003
    Date of Patent: December 27, 2005
    Assignee: Intersil Americas Inc.
    Inventor: James D. Beasom
  • Patent number: 6977392
    Abstract: An insulated-gate field-effect transistor adapted to be used in an active-matrix liquid-crystal display. The channel length, or the distance between the source region and the drain region, is made larger than the length of the gate electrode taken in the longitudinal direction of the channel. Offset regions are formed in the channel region on the sides of the source and drain regions. No or very weak electric field is applied to these offset regions from the gate electrode.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: December 20, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Akira Mase, Masaaki Hiroki, Yasuhiko Takemura, Hongyong Zhang, Hideki Uochi, Hideki Nemoto
  • Patent number: 6977419
    Abstract: The invention provides a technique to fabricate a dielectric plug in a MOSFET. The dielectric plug is fabricated by forming an oxide layer over exposed source and drain regions in the substrate including a gate electrode stack. The formed oxide layer in the source and drain regions are then substantially removed to expose the substrate in the source and drain regions and to leave a portion of the oxide layer under the gate electrode stack to form the dielectric plug and a channel region between the source and drain regions.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: December 20, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Zhongze Wang
  • Patent number: 6977417
    Abstract: An impurity-diffused layer having an extension structure is formed first by implanting Sb ion as an impurity for forming a pocket region; then by implanting N as a diffusion-suppressive substance so as to produce two peaks in the vicinity of the interface with a gate electrode and at an amorphous/crystal interface which serves as an defect interface generated by the impurity in the pocket region; and by carrying out ion implantations for forming an extension region and deep source and drain regions.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: December 20, 2005
    Assignee: Fujitsu Limited
    Inventors: Youichi Momiyama, Kenichi Okabe, Takashi Saiki, Hidenobu Fukutome
  • Patent number: 6974999
    Abstract: It is an object to suppress a change in a characteristic of a semiconductor device with a removal of a hard mask while making the most of an advantage of a gate electrode formed by using the hard mask. A gate electrode (3) is formed by etching using a hard mask as a mask and the hard mask remains on an upper surface of the gate electrode (3) at a subsequent step. In the meantime, the upper surface of the gate electrode (3) can be therefore prevented from being unnecessarily etched. The hard mask is removed after ion implantation for forming a source-drain region. Consequently, the influence of the removal of the hard mask on a characteristic of a semiconductor device can be suppressed. In that case, moreover, a surface of a side wall (4) is also etched by a thickness of (d) so that an exposure width of an upper surface of the source-drain region is increased. After the removal of the hard mask, it is easy to salicide the gate electrode (3) and to form a contact on the gate electrode (3).
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: December 13, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Tsuyoshi Sugihara
  • Patent number: 6975000
    Abstract: A method of forming a device (and the device so formed) comprising the following steps. A structure having a gate structure formed thereover is provided. Respective low doped drains are formed within the structure at least adjacent to the gate structure. A pocket implant is formed within the structure. The structure adjacent the gate structure is etched to form respective trenches having exposed side walls. Respective first liner structures are formed at least over the exposed side walls of trenches. Respective second liner structures are formed over the first liner structures. Source/drain implants are formed adjacent to, and outboard of, second liner structures to complete formation of device.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: December 13, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chiu-Hung Yu, Yang Chung-Heng, Wu Lin-June
  • Patent number: 6974997
    Abstract: A high-voltage MOS transistor capable of lowering the maximum substrate current without sacrificing the driving capacity of the transistor itself, and ensuring an acceptable lifetime of hot carriers is provided. By providing an overlapping region in a boundary region between a gate electrode and a lightly doped n-type diffusion layer of a drain electrode, it becomes possible to increase by about 50% a dopant dose of the lightly doped n-type diffusion layer, having effects on the so-called transistor characteristic of the n-channel high-voltage MOS transistor described above. Furthermore, by setting an overlapping amount to not less than 0.5 ?m, it becomes possible to create a stable region with maximum substrate current (Isub max.) at not larger than 5 ?A/?m.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: December 13, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hisayuki Maekawa
  • Patent number: 6974998
    Abstract: The present invention includes an advanced MOSFET design and manufacturing approach that allow further increase in IC packing density by appropriately addressing the increased leakage problems associated with it. The MOSFET according to one embodiment of the present invention includes a gate, source/drain diffusion regions on opposite sides of the gate, and source/drain extensions adjacent the source/drain diffusion regions. The MOSFET also includes at least one added corner diffusion region that overlaps with at least a portion of a source/drain extension region for reducing off-state leakage currents. The corner diffusions can be created using conventional CMOS IC fabrication processes with some modification of an ion implant mask used in manufacturing a conventional CMOS IC.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: December 13, 2005
    Assignee: Altera Corporation
    Inventors: Yowjuang (Bill) Liu, Francois Gregoire
  • Patent number: 6972463
    Abstract: A multi-finger transistor is described, including multiple parallel transistors. Each transistor includes a gate dielectric layer, a gate, a source/drain region, and a drift region in the peripheral substrate of the source/drain region separating the source/drain region and the channel region under the gate. The width of the drift region extending from the side boundary of the source/drain region increases stepwise from the edge sections of the multi-finger transistor toward the central section of the same.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: December 6, 2005
    Assignee: United Microelectronics Corp.
    Inventor: Jyh-Nan Cheng
  • Patent number: 6963109
    Abstract: A semiconductor device has a gate electrode formed on a P type semiconductor substrate via gate oxide films. A first low concentration (LN type) drain region is made adjacent to one end of the gate electrode. A second low concentration (SLN type) drain region is formed in the first tow concentration drain region so that the second low concentration drain region is very close to the outer boundary of the second low concentration drain region and has at least a higher impurity concentration than the first low concentration drain region. A high concentration (N+ type) source region is formed adjacent to the other end of said gate electrode, and a high concentration (N+ type) drain region is formed in the second low concentration drain region having the designated space from one end of the gate electrode.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: November 8, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Shuichi Kikuchi, Eiji Nishibe
  • Patent number: 6960807
    Abstract: A drain-extended metal-oxide-semiconductor transistor (40) with improved robustness in breakdown characteristics is disclosed. Field oxide isolation structures (29c) are disposed between the source region (30) and drain contact regions (32a, 32b, 32c) to break the channel region of the transistor into parallel sections. The gate electrode (35) extends over the multiple channel regions, and the underlying well (26) and thus the drift region (DFT) of the transistor extends along the full channel width. Channel stop doped regions (33) underlie the field oxide isolation structures (29c), and provide conductive paths for carriers during breakdown. Parasitic bipolar conduction, and damage due to that conduction, is therefore avoided.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: November 1, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: Sameer P. Pendharkar
  • Patent number: 6960517
    Abstract: A n-gate transistor, and method of forming such, including source/drain regions connected by a channel region and a gate electrode coupled to the channel region. The channel region has many angled edges protruding into the gate electrode. The many angled edges are to act as electrically conducting channel conduits between source/drain regions.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: November 1, 2005
    Assignee: Intel Corporation
    Inventors: Rafael Rios, Brian S. Doyle, Thomas D. Linton, Jr., Jack Kavalieros
  • Patent number: 6956276
    Abstract: Provided is a semiconductor device, comprising a gate electrode formed on a semiconductor substrate, source/drain diffusion layers formed on both sides of the gate electrode, a gate electrode side-wall on the side of the source/drain diffusion layer and a gate side-wall insulating film covering a part of the upper surface of the semiconductor substrate in the vicinity of the gate electrode and having an L-shaped/reversed L-shaped cross-sectional shape, and a semiconductor layer extending over the gate side-wall insulating film covering a part of the upper surface of the semiconductor substrate in the vicinity of the gate electrode.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: October 18, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akira Hokazono
  • Patent number: 6956263
    Abstract: Field effect transistor structures include a channel region formed in a recessed portion of a substrate. The recessed channel portion permits the use of relatively thicker source/drain regions thereby providing lower source/drain extension resistivity while maintaining the physical separation needed to overcome various short channel effects. The surface of the recessed channel portion may be of a rectangular, polygonal, or curvilinear shape. In a further aspect of the present invention, transistors are manufactured by a process in which a damascene layer is patterned, the channel region is recessed by etch that is self-aligned to the patterned damascene layer, and the gate electrode is formed by depositing a material over the channel region and patterned damascene layer, polishing off the excess gate electrode material and removing the damascene layer.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: October 18, 2005
    Assignee: Intel Corporation
    Inventor: Kaizad R. Mistry
  • Patent number: 6951793
    Abstract: A low-temperature polysilicon thin film transistor having a buried LDD structure is provided. Two heavily doped regions are formed in a semiconductor layer and distributed just below a surface of the semiconductor layer. Two LDD regions are both sandwiched between the two heavily doped regions in a direction substantially parallel to the surface of semiconductor layer, and separated from the surface of the semiconductor layer by a portion of the semiconductor layer. The process for producing such a thin film transistor is also provided. A first, a second and a third doping materials are injected into a semiconductor layer in different directions to form heavily doped regions and LDD regions.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: October 4, 2005
    Assignee: Toppoly Optoelectronics Corp.
    Inventor: An Shih
  • Patent number: 6949796
    Abstract: A halo implant method for forming halo regions of at least first and second transistors formed on a same semiconductor substrate. The first transistor comprises a first gate region disposed between first and second semiconductor regions. The second transistor comprises a second gate region disposed between third and fourth semiconductor regions. The method comprises the steps of, in turn, halo-implanting each of the first, second, third, and fourth semiconductor regions, with the other three semiconductor regions being masked, in a projected direction which (i) is essentially perpendicular to the direction of the respective gate region and (ii) points from the halo-implanted semiconductor region to the respective gate region.
    Type: Grant
    Filed: September 21, 2004
    Date of Patent: September 27, 2005
    Assignee: International Business Machines Corporation
    Inventors: John J. Ellis-Monaghan, Kirk D. Peterson, Jeffrey S. Zimmerman
  • Patent number: 6936848
    Abstract: A dual gate layout of a thin film transistor of liquid crystal display to alleviate dark current leakage is disclosed. The layout comprises (1) a polysilicon on a substrate having a L-shaped or a snake shaped from top-view, which has a heavily doped source region, a first lightly doped region, a first gate channel, a second lightly doped region, a second gate channel, a third lightly doped region and a heavily doped drain region formed in order therein; (2) a gate oxide layer formed on the polysilicon layer and the substrate, (3) a gate metal layer then formed on the gate oxide layer having a scanning line and an extension portion with a L-shaped or an I-shaped. The gate metal intersects with the polysilicon layer thereto define the forgoing gate channels. Among of gate channels, at least one is along the signal line, which is connected to the source region through a source contact.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: August 30, 2005
    Assignee: AU Optronics Corp.
    Inventors: Wein-Town Sun, Chun-Sheng Li, Jian-Shen Yu
  • Patent number: 6933559
    Abstract: In high-voltage devices comprising a lightly doped region (3) provided with a heavily doped contact zone 4, damage caused by local breakdown at the corner of the contact zone may occur as a result of the Kirk effect at a high current density. To improve the robustness of the device, an annular protection zone (14) of the same conductivity type is provided so as to surround the contact zone at a small distance. As a result, breakdown will occur initially at the corner of the protection zone. However, due to the resistance between the protection zone and the contact zone, a more uniform current distribution is obtained, which prevents damage caused by local current concentration.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: August 23, 2005
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Raymond Van Roijen, Johannes Hendrik Hermanus Alexius Egbers, Adrianus Willem Ludikhuize, Anco Heringa
  • Patent number: 6933561
    Abstract: A semiconductor device includes a post-oxide film comprising first, second and third portions. The first portion extends on the sidewall of a gate electrode provided on a gate insulating film on the surface of the semiconductor substrate to the surface of the semiconductor substrate. The second portion extends on the surface of the semiconductor substrate and contacts with the first portion. The third portion extends on the surface of the semiconductor substrate with its end contacting with an end of the second portion opposite to the first portion and is thinner than the second portion. A spacer covers the first portion on the second and third portions. Source/drain extension layers, in the surface of the semiconductor substrate, sandwich a channel region under the gate electrode. Source/drain diffusion layers, in the surface-of the semiconductor substrate, contact with ends of the source/drain extension layers opposite from the channel region.
    Type: Grant
    Filed: April 1, 2004
    Date of Patent: August 23, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryota Katsumata, Hideaki Aochi
  • Patent number: 6927459
    Abstract: A gate electrode is provided via a gate insulating film formed between the source and drain regions on a semiconductor substrate, wherein the sidewall of the gate electrode excluding the exposed part formed at the upper part thereof facing the source and drain regions is covered with a sidewall insulating film, and an epitaxial film is formed on the exposed part of the sidewall of the gate electrode but not formed on a top surface of the gate electrode. An element isolation region formed on the semiconductor substrate is composed of a first insulating film formed in the semiconductor substrate and a second insulating film which is formed inside the first insulating film and has a lower epitaxial growth rate than that of the first insulating film, and the surface of the source and drain regions is covered with a silicon layer, part of which runs onto the surface of the first insulating film.
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: August 9, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akira Hokazono, Mariko Takayanagi
  • Patent number: 6927455
    Abstract: A first insulator (710) having an opening within a central region (551) is formed on a main surface (61S) of an epitaxial layer (610). Then, p-type impurities are ion implanted through the opening of the first insulator (710) and then heat treatment is carried out, thereby to form a p base layer (621) in the main surface (61S). An insulating film is formed to fill in the opening and then etched back, thereby to form a second insulator (720) on a side surface (71W) of the first insulator (710). Under conditions where the second insulator (720) is present, n-type impurities are ion implanted through the opening and then heat treatment is carried out, thereby to form an n+ source layer (630) in the main surface (61S) of the p base layer (621).
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: August 9, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Atsushi Narazaki
  • Patent number: 6927453
    Abstract: An MOS device includes a semiconductor layer of a first conductivity type, a source region of a second conductivity type formed in the semiconductor layer, and a drain region of the second conductivity type formed in the semiconductor layer and spaced apart from the source region. A gate is formed proximate an upper surface of the semiconductor layer and at least partially between the source and drain regions. The MOS device further includes a buried LDD region of the second conductivity type formed in the semiconductor layer between the gate and the drain region, the buried LDD region being spaced laterally from the drain region, and a second LDD region of the first conductivity type formed in the buried LDD region and proximate the upper surface of the semiconductor layer. The second LDD region is self-aligned with the gate and spaced laterally from the gate such that the gate is non-overlapping relative to the second LDD region.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: August 9, 2005
    Assignee: Agere Systems Inc.
    Inventors: Muhammed Ayman Shibib, Shuming Xu
  • Patent number: 6927454
    Abstract: A multi-layered gate electrode stack structure of a field effect transistor device is formed on a silicon nano crystal seed layer on the gate dielectric. The small grain size of the silicon nano crystal layer allows for deposition of a uniform and continuous layer of poly-SiGe with a [Ge] of up to at least 70% using in situ rapid thermal chemical vapor deposition (RTCVD). An in-situ purge of the deposition chamber in a oxygen ambient at rapidly reduced temperatures results in a thin SiO2 or SixGeyOz interfacial layer of 3 to 4A thick. The thin SiO2 or SixGeyOz interfacial layer is sufficiently thin and discontinuous to offer little resistance to gate current flow yet has sufficient [O] to effectively block upward Ge diffusion during heat treatment to thereby allow silicidation of the subsequently deposited layer of cobalt. The gate electrode stack structure is used for both nFETs and pFETs.
    Type: Grant
    Filed: October 7, 2003
    Date of Patent: August 9, 2005
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Jia Chen, Shih-Fen Huang, Edward J. Nowak
  • Patent number: 6924528
    Abstract: In a bottom gate type semiconductor device made of a semiconductor layer with crystal structure, source/drain regions are constructed by a lamination layer structure including a first conductive layer (n+ layer), a second conductive layer (n? layer) having resistance higher than the first conductive layer, and an intrinsic or substantially intrinsic semiconductor layer (i layer). At this time, the n? layer acts as LDD region, and the i layer acts as an offset region is a film thickness direction.
    Type: Grant
    Filed: May 2, 2003
    Date of Patent: August 2, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Takeshi Fukunaga
  • Patent number: 6921701
    Abstract: A method of forming a semiconductor device includes forming a body region of a semiconductor substrate and forming a drift region adjacent at least a portion of the body region. A dopant is used to form the drift region. The dopant may comprise phosphorous. The method also includes forming a field oxide structure adjacent a portion of the drift region and a portion of a drain region. The field oxide structure is located between a gate electrode region and the drain region and is spaced apart from the gate electrode region. Atoms of the dopant accumulate adjacent a portion of the field oxide structure, forming an intermediate-doped region adjacent a portion of the field oxide structure. The method includes forming a gate oxide adjacent a portion of the body region and forming a gate electrode adjacent a portion of the gate oxide.
    Type: Grant
    Filed: March 15, 2004
    Date of Patent: July 26, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: Xiaoju Wu