With Lightly Doped Portion Of Drain Region Adjacent Channel (e.g., Ldd Structure) Patents (Class 257/344)
  • Patent number: 7211491
    Abstract: A method of fabricating a gate electrode of a semiconductor device is disclosed. A disclosed method comprises growing a silicon epitaxial layer on a silicon substrate; making at least one trench through the epitaxial layer and filling the trench with a first oxide layer; etching the first oxide layer to form reverse spacers in the trench; depositing a second oxide layer and a polysilicon layer over the silicon substrate including the trench and the reverse spacers and forming a gate; implanting ions in the silicon substrate at both sides of the gate to form pocket-well and LDD areas; depositing a nitride layer over the silicon substrate including the gate and etching the nitride layer to form spacers; implanting ions using the spacers and the gate as a mask to make a source/drain region; and forming a silicide layer on the top of the gate electrode and the silicon epitaxial layer positioned on the source/drain region.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: May 1, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Cho
  • Patent number: 7208803
    Abstract: A method of forming a raised source/drain proximate a spacer of a gate of a transistor on a substrate, and a semiconductor device of an integrated circuit employing the same. In one embodiment, the method includes orienting the gate substantially along a <100> direction of the substrate. The method also includes providing a semiconductor material adjacent the spacer of the gate to form a raised source/drain layer of the raised source/drain oriented substantially along a <100> direction of the substrate.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: April 24, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Steve Ming Ting
  • Patent number: 7205612
    Abstract: A device and method are described for forming a grounded gate NMOS (GGNMOS) device used to provide protection against electrostatic discharge (ESD) in an integrated circuit (IC). The device is achieved by adding n-wells below the source and drain regions. By tailoring the dopant concentration profiles of the p-well and n-wells provided in the fabrication process, peak dopant concentrations are moved below the silicon surface. This moves ESD conduction deeper into the IC where thermal conductivity is improved, thereby avoiding thermal damage occurring with surface conduction. The device does not require a salicidation block or additional implantation and uses standard NMOS fabrication processing steps, making it advantageous over prior art solutions.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: April 17, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jun Cai, Keng Foo Lo
  • Patent number: 7205616
    Abstract: In consideration of an optimum combination of impurities used for the purpose of forming an extension region (13) and a pocket region (11) and further inhibiting impurity diffusion in the extension region (13) when an impurity diffusion layer (21) is formed in a semiconductor device having an nMOS structure, at least phosphorus (P) is used as an impurity in the extension region (13), at least indium (In) is used as an impurity in the pocket region (11), and additionally carbon (C) is used as a diffusion inhibiting substance. Consequently, it is possible to easily and surely realize the scaling down/high integration of elements while improving threshold voltage roll-off characteristics and current drive capability and reducing a drain leakage current especially in the semiconductor device having the nMOS structure, and particularly by making the optimum design of a semiconductor device having a CMOS structure possible, improve device performance and reduce power consumption.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: April 17, 2007
    Assignee: Fujitsu Limited
    Inventor: Youichi Momiyama
  • Patent number: 7202540
    Abstract: A drain (7) includes a lightly-doped shallow impurity region (7a) aligned with a control gate (5), and a heavily-doped deep impurity region (7b) aligned with a sidewall film (8) and doped with impurities at a concentration higher than that of the lightly-doped shallow impurity region (7a). The lightly-doped shallow impurity region (7a) leads to improvement of the short-channel effect and programming efficiency. A drain contact hole forming portion (70) is provided to the heavily-doped impurity region (7b) to reduce the contact resistance at the drain (7).
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: April 10, 2007
    Assignees: Fujitsu Limited, Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Hideki Komori, Hisayuki Shimada, Yu Sun, Hiroyuki Kinoshita
  • Patent number: 7202527
    Abstract: A MOS transistor includes a drain zone, a source zone, and a gate electrode. Doping atoms of the first conductivity type are implanted in the region of the drain zone and the source zone by at least two further implantation steps such that a pn junction between the drain zone and a substrate region is vertically shifted and a voltage ratio of the MOS transistor between a lateral breakdown voltage and a vertical breakdown voltage can be set.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: April 10, 2007
    Assignee: Infineon Technologies AG
    Inventors: Kai Esmark, Harald Gossner, Gunther Mackh, Richard Owen, Franz Zängl
  • Patent number: 7187033
    Abstract: High side extended-drain MOS driver transistors (T2) are presented in which an extended drain (108, 156) is separated from a first buried layer (120) by a second buried layer (130), wherein an internal or external diode (148) is coupled between the first buried layer (120) and the extended drain (108, 156) to increase the breakdown voltage.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: March 6, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Sameer Pendharkar
  • Patent number: 7187036
    Abstract: A semiconductor contact connection structure and the method for forming the same are disclosed. The connection structure has a first semiconductor device formed on an insulator substrate. A non-conducting gate interconnect layer is formed on the insulator substrate for connecting to a gate of a second semiconductor device, and a silicide layer formed on the gate interconnect layer and an active region of the first semiconductor device for making a connection thereof.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: March 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Jhon Jhy Liaw
  • Patent number: 7183662
    Abstract: A memory device, such as a DRAM, SRAM or non-volatile memory device, includes a substrate, a gate electrode disposed on the substrate, and source and drain regions in the substrate adjacent respective first and second sidewalls of the gate electrode. First and second sidewall spacers are disposed on respective ones of the first and second sidewalls of the gate electrode. The first and second sidewall spacers have different dielectric constants. The first and second sidewall spacers may be substantially symmetrical and/or have substantially the same thickness.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyu-chul Kim, Sung-bong Kim
  • Patent number: 7176538
    Abstract: A MOSFET includes an insulated gate electrode on a surface of a semiconductor substrate having an impurity region of first conductivity type therein that extends to the surface. Source and drain regions of second conductivity type are provided in the impurity region. The source region includes a highly doped source contract region that extends to the surface and a lightly doped source extension. The lightly doped source extension extends laterally underneath a first end of the insulated gate electrode and defines a source-side P-N junction with the well region. The drain region includes a highly doped drain contact region that extends to the surface and a lightly doped drain extension. The lightly doped drain extension extends laterally underneath a second end of the insulated gate electrode and defines a drain-side P-N junction with the well region. This well region, which extends within the impurity region and defines a non-rectifying junction therewith, is more highly doped than the impurity region.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: February 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sun-hak Lee, Kwang-dong Yoo
  • Patent number: 7176525
    Abstract: A process for producing an adhered SOI substrate without causing cracking and peeling of a single-crystal silicon thin film. The process consists of selectively forming a porous silicon layer in a single-crystal semiconductor substrate, adding hydrogen into the single-crystal semiconductor substrate to form a hydrogen-added layer, adhering the single-crystal semiconductor substrate to a supporting substrate, separating the single-crystal semiconductor substrate at the hydrogen-added layer by thermal annealing, performing thermal annealing again to stabilize the adhering interface, and selectively removing the porous silicon layer to give single-crystal silicon layer divided into islands.
    Type: Grant
    Filed: March 10, 2005
    Date of Patent: February 13, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Takeshi Fukunaga
  • Patent number: 7176097
    Abstract: A semiconductor device is provided with a FET having a sufficiently small short channel effect and sufficiently small junction capacitance and junction leakage current. The FET includes a channel region formed in a silicon substrate, a gate electrode formed on the channel region through the intermediary of a gate insulting film, heavily doped regions, and pocket regions. The pocket regions are formed to extend from inside the heavily doped regions, respectively, over inside the channel region. Because a pocket sub-region inside the respective heavily doped regions is formed to be located in regions shallower than the respective lower end faces of the heavily doped regions, junction capacitance and junction leakage current are reduced. Further, because respective pocket sub-regions inside the channel region are formed in regions deeper than the respective pocket sub-regions inside the heavily doped regions, a short channel effect can be reduced.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: February 13, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Marie Hiraizumi
  • Patent number: 7176527
    Abstract: A semiconductor device and a method of fabricating the same suppress a substrate floating effect without causing lowering of a degree of integration. The semiconductor device has a Silicon-On-Insulator structure which includes a semiconductor layer formed on an insulator, and has at least one MOSFET element. The MOSFET element includes a source region; a drain region which is opposed to the source region; a body region disposed between the source and drain regions; a gate region positioned on or close to a surface of the body region, so as to form an electrically conducting channel in the body region; and an extracting region being in contact with both of the body region and the source region. The extracting region has a conductivity type which is the same as a conductivity type of the body region and has a concentration higher than that of the body region.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: February 13, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Koichi Fukuda
  • Patent number: 7170084
    Abstract: An n-type MOSFET (NMOS) is implemented on a substrate having an epitaxial layer of strained silicon formed on a layer of silicon germanium. The MOSFET includes first halo regions formed in the strained silicon layer that extent toward the channel region beyond the ends of shallow source and drain extensions. Second halo regions formed in the underlying silicon germanium layer extend toward the channel region beyond the ends of the shallow source and drain extensions and extend deeper into the silicon germanium layer than the shallow source and drain extensions. The p-type dopant of the first and second halo regions slows the high rate of diffusion of the n-type dopant of the shallow source and drain extensions through the silicon germanium toward the channel region. By counteracting the increased diffusion rate of the n-type dopant in this manner, the shallow source and drain extension profiles are maintained and the risk of degradation by short channel effects is reduced.
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: January 30, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Jung-Suk Goo, Haihong Wang
  • Patent number: 7166892
    Abstract: The on resistance per unit area of integration of a DMOS structure is reduced beyond the technological limits of a mask that is defined based upon the continuity of a heavily doped superficial silicon region along the axis of the elongated source island openings through the polysilicon gate layer in the width direction of the integrated structure. The mask no longer needs to be defined with a width (in the pitch direction) sufficiently large to account for the overlay of two distinct and relatively critical masks. These two masks are the source implant mask and the body contacting plug diffusion implant contact opening mask.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: January 23, 2007
    Assignee: STMicroelectronics S.r.l.
    Inventor: Daniele Alfredo Brambilla
  • Patent number: 7166893
    Abstract: A MISFET capable of a high speed operation includes a metal silicide layer in a high concentration region aligned with a gate side wall layer on a self-alignment basis. A MISFET which can be driven at a high voltage includes an LDD portion having a width greater than the width of the side wall layer, a high concentration region in contact with the LDD portion and a metal silicide layer in the high concentration region.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: January 23, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Yasuhiro Taniguchi, Shoji Shukuri, Kenichi Kuroda, Shuji Ikeda, Takashi Hashimoto
  • Patent number: 7164171
    Abstract: For forming a gate electrode, a conductive film with low resistance including Al or a material containing Al as its main component and a conductive film with low contact resistance for preventing diffusion of Al into a semiconductor layer are laminated, and the gate electrode is fabricated by using an apparatus which is capable of performing etching treatment at high speed.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: January 16, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideomi Suzawa, Koji Ono, Yoshihiro Kusuyama
  • Patent number: 7164189
    Abstract: A CMOS structure including a Slim spacer and method for forming the same to reduce an S/D electrical resistance and improve charge mobility in a channel region, the method including providing a semiconductor substrate including a polysilicon gate structure including at least one overlying hardmask layer; forming spacers selected from the group consisting of oxide/nitride and oxide/nitride oxide layers adjacent the polysilicon gate structure; removing the at least one overlying hardmask layer to expose the polysilicon gate structure; carrying out an ion implant process; carrying out at least one of a wet and dry etching process to reduce the width of the spacers; and, forming at least one dielectric layer over the polysilicon gate structure and spacers in one of tensile and compressive stress.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: January 16, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd
    Inventors: Chien-Chao Huang, Tone-Xuan Chung, Fu-Liang Yang
  • Patent number: 7159304
    Abstract: Multiple thin films of spin-valve GMR sensor are formed in a trapezoidal cross-sectional shape by laminating an antiferromagnetic layer, a pinned magnetic layer, a nonmagnetic conductive layer, a free magnetic layer and a nonmagnetic protective layer on a lower insulated gap layer. The amount of etching of the lower insulated gap layer produced in the process of patterning the spin-valve giant magnetoresistive layers into the multiple thin films of spin-valve GMR sensor is 10 nm or less. Further, the angle ? which the tangent line of each side face of the multiple thin films to the middle line of the free magnetic layer in its thickness direction forms with respect to the middle line of the free magnetic layer becomes 45 degrees or more. This structure makes it possible to provide such a spin-valve giant magnetoresistive head that it meets the requirements for securing constant breakdown voltage and preventing instability of MR output voltage waveform.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: January 9, 2007
    Assignee: Hitachi Global Storage Technologies Japan, Ltd.
    Inventors: Masatoshi Arasawa, Haruko Tanaka, Makoto Morijiri, Koichi Nishioka, Shuichi Kojima, Masayasu Kagawa
  • Patent number: 7161210
    Abstract: A semiconductor device is provided with a gate electrode formed over a substrate that has gate oxide films disposed thereon. Source-drain regions of low and high concentration are formed next to the gate electrode. A diffusion region width of the source side of the source-drain regions is smaller than at least a diffusion region width of the drain side.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: January 9, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Eiji Nishibe, Shuichi Kikuchi, Takuya Suzuki
  • Patent number: 7154146
    Abstract: The invention provides a technique to fabricate a dielectric plug in a MOSFET. The invention includes apparatus and systems that include one or more devices including a MOSFET having a dielectric plug. The dielectric plug is fabricated by forming an oxide layer over exposed source and drain regions in the substrate including a gate electrode stack. The formed oxide layer in the source and drain regions are then substantially removed to expose the substrate in the source and drain regions and to leave a portion of the oxide layer under the gate electrode stack to form the dielectric plug and a channel region between the source and drain regions.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: December 26, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Zhongze Wang
  • Patent number: 7154154
    Abstract: MOS transistors have an active region defined in a portion of a semiconductor substrate, a gate electrode on the active region, and drain and source regions in the substrate. First and second lateral protrusions extend from the lower portions of respective sidewalls of the gate electrode. The drain region has a first lightly-doped drain region under the first lateral protrusion, a second lightly-doped drain region adjacent the first lightly-doped drain region, and a heavily-doped drain region adjacent to the second lightly-doped drain region. The source region similarly has a first lightly-doped source region under the second lateral protrusion, a second lightly-doped source region adjacent the first lightly-doped source region, and a heavily-doped source region adjacent to the second lightly-doped source region. The second lightly-doped regions are deeper than the first lightly-doped regions, and the gate electrode may have an inverted T-shape.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: December 26, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin-Ae Lee, Dong-gun Park, Chang-sub Lee, Jeong-dong Choe, Sung-min Kim, Seong-ho Kim
  • Patent number: 7148540
    Abstract: An MOS device comprises a semiconductor layer of a first conductivity type and source and drain regions of a second conductivity type formed in the semiconductor layer, the source and drain regions being spaced apart from one another. A drift region is formed in the semiconductor layer proximate an upper surface of the semiconductor layer and between the source and drain regions, and a insulating layer is formed on the semiconductor layer above at least a portion of the drift region. A gate is formed on the insulating layer and at least partially between the source and drift regions. The MOS device further includes a conductive structure comprising a first end formed on the insulating layer and spaced apart from the gate, and a second end formed on the insulating layer and extending laterally toward the drain region above at least a portion of the drift region.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: December 12, 2006
    Assignee: Agere Systems Inc.
    Inventors: Muhammed Ayman Shibib, Shuming Xu
  • Patent number: 7148539
    Abstract: A semiconductor structure includes a substrate, a source area formed in the substrate and a drain area formed in the substrate and comprising a doping of a first conductivity type. The drain area includes a first drain portion with a first doping concentration and a second drain portion with a second doping concentration, wherein the first doping concentration is higher than the second doping concentration. In the second drain portion a first region is formed comprising a doping of a second conductivity type which is different to the first conductivity type. Further, a second region is formed in the substrate below the second drain portion comprising a doping of the first conductivity type. A channel area is provided in the substrate between the source area and the second drain portion.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: December 12, 2006
    Assignee: Infineon Technologies AG
    Inventor: Hans Taddiken
  • Patent number: 7145202
    Abstract: A semiconductor device including a source region and a drain region spaced from each other by a predetermined interval and formed on a main surface of a semiconductor substrate. A gate electrode is formed on the semiconductor substrate. A trench is filled with insulation material and formed in the main surface of the semiconductor substrate between a location under the gate electrode and at least one of the source region and the drain region with a predetermined depth. An LDD is formed along the trench and has an impurity concentration that is lower than that of the source region and the drain region.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: December 5, 2006
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Akinao Kitahara
  • Patent number: 7145196
    Abstract: A field effect transistor includes a channel region under a gate stack formed on a semiconductor structure. The field effect transistor also includes a drain region formed with a first dopant doping a first side of the channel region, and includes a source region formed with the first dopant doping a second side of the channel region. The drain and source regions are doped asymmetrically such that a first charge carrier profile between the channel and drain regions has a steeper slope than a second charge carrier profile between the channel and source regions.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: December 5, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Jae Hur, Kyung-Seok Oh, Joo-Sung Park, Jung-Hyun Shin
  • Patent number: 7141823
    Abstract: In a TFT with a GOLD structure, there is provided a structure which is able to improve an operating characteristic and reliability and reduce an off current value in order to reduce power consumption of a semiconductor device. The surface of LDD region (4) overlapped with a portion (7a) of a gate electrode through a gate insulating film (6) interposed therebetween is extremely flattened. Thus, it is possible to obtain a TFT structure which is capable of reducing a parasitic capacitance in the LDD region of the TFT with the GOLD structure, reducing an off current value, improving reliability, and enabling high speed operation.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: November 28, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takashi Hamada, Hidekazu Miyairi, Takuya Matsuo, Naoki Makita, Katsumi Nomura
  • Patent number: 7141852
    Abstract: A semiconductor device and fabricating method are provided, by which device drivability can be increased by forming second LDD regions after isolating first LDD regions from source/drain regions to prevent heavily doped impurities therein from diffusing into the first LDD regions and to provide stepped densities within the LDD regions. The method includes the steps of stacking oxide and conductive layers on a semiconductor substrate, forming a gate electrode by patterning the conductive layer, etching the exposed substrate to a first depth, forming a first LDD region in the etched substrate, forming a spacer on a sidewall of the gate electrode, forming a source/drain region in the substrate having the spacer, etching the substrate having the source/drain region to a second depth, and forming a second LDD region between the first LDD region and the source/drain region of the etched substrate.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: November 28, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yung Pil Kim
  • Patent number: 7138689
    Abstract: A semiconductor substrate that has a MOS transistor with a high breakdown voltage having double sidewall insulation films and can inhibit negative effects on the electric characteristics and method thereof.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: November 21, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Tsuyoshi Inoue, Hiroshi Yamamoto, Mitsuru Yoshikawa, Saiki Hotate
  • Patent number: 7135373
    Abstract: A transistor can be fabricated to exhibit reduced channel hot carrier effects. According to one aspect of the present invention, a method for fabricating a transistor structure includes implanting a first dopant into a lightly doped drain (LDD) region to form a shallow region therein. The first dopant penetrates the substrate to a depth that is less than the LDD junction depth. A second dopant is implanted into the substrate beyond the LDD junction depth to form a source/drain region. The implantation of the second dopant overpowers a substantial portion of the first dopant to define a floating ring in the LDD region that mitigates channel hot carrier effects.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: November 14, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Pinghai Hao, Shanjen Pan, Sameer Pendharkar
  • Patent number: 7132690
    Abstract: A multi-channel type thin film transistor includes a gate electrode over a substrate extending along a first direction, a plurality of active layers parallel to and spaced apart from each other extending along a second direction crossing the first direction, and source and drain electrodes spaced apart from each other with respect to the gate electrode and extending along the first direction, wherein each of the plurality of active layers includes a channel region overlapped with the gate electrode, a source region, a drain region, and lightly doped drain (LDD) regions, one between the channel region and the source region and another one between the channel region and the drain region, wherein the LDD regions of the adjacent active layers have different lengths from each other.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: November 7, 2006
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Seok-Woo Lee, Jae-Sung Yu
  • Patent number: 7129548
    Abstract: A metal oxide semiconductor field effect transistor (MOSFET) structure that includes multiple and distinct self-aligned silicide contacts and methods of fabricating the same are provided. The MOSFET structure includes at least one metal oxide semiconductor field effect transistor having a gate conductor including a gate edge located on a surface of a Si-containing substrate; a first inner silicide having an edge that is substantially aligned to the gate edge of the at least one metal oxide semiconductor field effect transistor; and a second outer silicide located adjacent to the first inner silicide. In accordance with the present invention, the second outer silicide has second thickness is greater than the first thickness of the first inner silicide. Moreover, the second outer silicide has a resistivity that is lower than the resistivity of the first inner silicide.
    Type: Grant
    Filed: August 11, 2004
    Date of Patent: October 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Christian Lavoie, Kern Rim
  • Patent number: 7129550
    Abstract: A semiconductor layer in which a primary part of a FinFET is formed, i.e., a fin has a shape which is long in a direction x and short in a direction y. A width of the fin in the direction y changes on three stages. First, in a channel area between gate electrodes each having a gate length Lg, the width of the fin in the direction y is Wch. Further, the width of the fin in the direction y in a source/drain extension area adjacent to the channel area in the direction x is Wext (>Wch). Furthermore, the width of the fin in the direction y in a source/drain area adjacent to the source/drain extension area in the direction x is Wsd (>Wext).
    Type: Grant
    Filed: February 9, 2004
    Date of Patent: October 31, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Makoto Fujiwara, Kazunari Ishimaru, Akira Hokazono
  • Patent number: 7126193
    Abstract: An MOS device is formed including a semiconductor layer of a first conductivity type, a first source/drain region of a second conductivity type formed in the semiconductor layer, and a second source/drain region of the second conductivity type formed in the semiconductor layer and spaced apart from the first source/drain region. A gate is formed proximate an upper surface of the semiconductor layer and at least partially between the first and second source/drain regions. The MOS device further includes at least one contact, the at least one contact including a silicide layer formed on and in electrical connection with at least a portion of the first source/drain region, the silicide layer extending laterally away from the gate. The contact further includes at least one insulating layer formed directly on the silicide layer.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: October 24, 2006
    Assignee: Ciclon Semiconductor Device Corp.
    Inventors: Frank A. Baiocchi, Bailey R. Jones, Muhammed Ayman Shibib, Shuming Xu
  • Patent number: 7125797
    Abstract: A contact structure of a semiconductor includes a substrate, a conductive doping layer having an opposite polarity to that of the substrate, the conductive doping layer being formed in the substrate, a conductive layer formed on the conductive doping layer, and an insulation doping layer formed under the conductive doping layer in the substrate.
    Type: Grant
    Filed: August 11, 2004
    Date of Patent: October 24, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-young Kim, Byoung-lyong Choi, Eun-kyung Lee
  • Patent number: 7126190
    Abstract: A semiconductor structure includes a silicon substrate of a first conductivity type including wells of a second conductivity type formed on a surface thereof. The wells may be laterally isolated by shallow trench isolation. Transistors are formed in the wells by first forming several chemically distinct layers. Anisotropic etching then forms openings in a top one of the layers. A blanket dielectric layer is formed in the openings and on the layers. Anisotropic etching removes portions of the blanket dielectric layer from planar surfaces of the substrate but not from sidewalls of the openings to form dielectric spacers separated by gaps within the openings. Gate oxides are formed by oxidation of exposed areas of the substrate. Ion implantation forms channels beneath the gate oxides. Polysilicon deposition followed by chemical-mechanical polishing defines gates in the gaps. The chemically distinct layers are then stripped without removing the dielectric spacers.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: October 24, 2006
    Assignee: STMicroelectronics, Inc.
    Inventor: Robert Louis Hodges
  • Patent number: 7126189
    Abstract: A method for fabricating a semiconductor device is provided. The method comprises: providing a substrate; forming a gate structure on the substrate, the gate structure including a gate dielectric layer on the substrate and a gate conductive layer on the gate dielectric layer; forming an oxide layer conformally covering the substrate and the gate structure; forming a dielectric layer covering the oxide layer; removing a portion of the dielectric layer to form a spacer on a sidewall of the gate structure, the oxide layer between the spacer and the gate structure as an oxide spacer; performing an oxygen plasma treatment process to form an silicon oxide layer in the substrate below the oxide layer, the silicon oxide layer and the oxide layer being an offset oxide layer; and forming a source/drain region in the substrate at two sides of the gate structure.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: October 24, 2006
    Assignee: United Microelectronics Corp.
    Inventor: Ping-Pang Hsieh
  • Patent number: 7122862
    Abstract: A transistor can be fabricated to exhibit reduced channel hot carrier effects. According to one aspect of the present invention, a method for fabricating a transistor structure includes implanting a first dopant into a lightly doped drain (LDD) region to form a shallow region therein. The first dopant penetrates the substrate to a depth that is less than the LDD junction depth. A second dopant is implanted into the substrate beyond the LDD junction depth to form a source/drain region. The implantation of the second dopant overpowers a substantial portion of the first dopant to define a floating ring in the LDD region that mitigates channel hot carrier effects.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: October 17, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Pinghai Hao, Shanjen Pan, Sameer Pendharkar
  • Patent number: 7119435
    Abstract: In a MOS transistor and a method of manufacturing the same, a gate structure including a gate insulating layer and a gate electrode is formed on a semiconductor substrate. A first insulating layer is formed to cover the gate structure. A second insulating layer is formed on the substrate that is spaced apart from the first insulating layer. A lightly doped source/drain region is formed in the surface portions of the substrate between the second insulating layer and the gate structure. A source/drain extension layer are formed on the lightly doped source/drain region. A heavily doped source/drain region is formed on the second insulating layer so as to connect with the source/drain extension layer. The short channel effect is suppressed and the source/drain junction capacitance is reduced.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: October 10, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jae-Kyu Lee
  • Patent number: 7119408
    Abstract: A semiconductor device of the present invention includes, as a peripheral MIS transistor 25b, a gate insulating film 13b and a gate electrode 14b provided above an active region 10b, first and second sidewalls 19b and 23b provided on side surfaces of the gate electrode 14b, n-type source and drain regions 24b provided away from each other in the active region, nitrogen diffusion layers 18 provided below the outer sides of the gate electrode 14b, n-type extension regions 16 containing arsenic and provided in regions of the active region 10b located below the outer sides of the gate electrode 14b so that the n-type extension regions 16 cover the inner side surfaces and the bottom surfaces of the nitrogen diffusion layers 18, respectively, and n-type dopant regions 17 containing phosphorus and provided in regions of the active region 10b located below the outer sides of the gate electrode 14b and deeper than the n-type extension regions 16.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: October 10, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoki Kotani
  • Patent number: 7119405
    Abstract: An implantation method to improve ESD robustness of thick-oxide grounded-gate NMOSFET's in deep-submicron CMOS technologies. Based on standard process flow in DGO, a thick gate-oxide ESD device is improved. Instead of using the standard I/O device, the ESD device uses the thin-oxide N-LDD implantation, and thus its ESD robustness is enhanced. This is performed by updating the logic Boolean operations of thick gate-oxide and thin gate-oxide N-LDD before fabricating the masks. In TGO, the intermediate-oxide ESD uses thin-oxide N-LDD implantation, and the thick-oxide ESD uses intermediate-oxide N-LDD implantation.
    Type: Grant
    Filed: February 11, 2005
    Date of Patent: October 10, 2006
    Assignee: LSI Logic Corporation
    Inventors: Jau-Wen Chen, Yoon Huh, Erhong Li
  • Patent number: 7112817
    Abstract: A high reliability semiconductor display device is provided. A semiconductor layer in the semiconductor display device has a channel forming region, an LDD region, a source region, and a drain region, and the LDD region overlaps a first gate electrode, sandwiching a gate insulating film.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: September 26, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Hideomi Suzawa, Koji Ono, Tatsuya Arao
  • Patent number: 7112844
    Abstract: The objectives of the present invention are achieving TFTs having a small off current and TFT structures optimal for the driving conditions of a pixel portion and driver circuits, and providing a technique of making the differently structured TFTs without increasing the number of manufacturing steps and the production costs. A semiconductor device has a semiconductor layer, a gate insulating film on the semiconductor layer, and a gate electrode on the gate insulating film. The semiconductor layer contains a channel forming region, a region containing a first concentration impurity element, a region containing a second concentration impurity element, and a region containing a third concentration impurity element. The gate electrode is formed by laminating an electrode (A) and an electrode (B).
    Type: Grant
    Filed: April 17, 2002
    Date of Patent: September 26, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ritsuko Nagao, Masahiko Hayakawa
  • Patent number: 7109553
    Abstract: A semiconductor device which achieves reductions in malfunctions and operating characteristic variations by reducing the gain of a parasitic bipolar transistor, and a method of manufacturing the same are provided. A silicon oxide film (6) is formed partially on the upper surface of a silicon layer (3). A gate electrode (7) of polysilicon is formed partially on the silicon oxide film (6). A portion of the silicon oxide film (6) underlying the gate electrode (7) functions as a gate insulation film. A silicon nitride film (9) is formed on each side surface of the gate electrode (7), with a silicon oxide film (8) therebetween. The silicon oxide film (8) and the silicon nitride film (9) are formed on the silicon oxide film (6). The width (W1) of the silicon oxide film (8) in a direction of the gate length is greater than the thickness (T1) of the silicon oxide film (6).
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: September 19, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Takuji Matsumoto, Hirokazu Sayama, Shigenobu Maeda, Toshiaki Iwamatsu, Kazunobu Ota
  • Patent number: 7105395
    Abstract: A non-volatile memory (NVM) has a silicon germanium (SiGe) drain that is progressively more heavily doped toward the surface of the substrate. The substrate is preferably silicon and the drain is formed by first forming a cavity in the substrate in the drain location. SiGe is epitaxially grown in the cavity with an increasing doping level. Thus, the PN junction between the substrate and the drain is lightly doped on both the P and N side. The drain progressively becomes more heavily doped until the maximum desired doping level is reached, and the remaining portion of the SiGe drain is doped at this maximum desired level. As a further enhancement, the perimeter of the SiGe in the substrate is the same conductivity type as that of the substrate and channel. Thus a portion of the channel is in the SiGe.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: September 12, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: James David Burnett, Gowrishankar L. Chindalore, Craig T. Swift, Ramachandran Muralidhar
  • Patent number: 7091556
    Abstract: The present invention provides, in one embodiment, a transistor (100). The transistor (100) comprises a doped semiconductor substrate (105) and a drain-extended well (115) having a curved region (125) and a straight region (130) surrounded by the doped semiconductor substrate (105). The drain-extended well (115) has an opposite dopant type as the doped semiconductor substrate (105). The transistor (100) further includes a centered source/drain (120) surrounded by the drain-extended well (115) and separated from an outer perimeter (135) of the drain-extended well (115). A separation in the curved region (145) is greater than a separation in the straight region (150). Other embodiments of the present invention include an integrated circuit (300) and a method of manufacturing a transistor (200).
    Type: Grant
    Filed: December 24, 2003
    Date of Patent: August 15, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Henry Litzmann Edwards, Sameer Pendharker
  • Patent number: 7087962
    Abstract: A MOS transistor having a LDD structure is described. In accordance with the present invention a MOS transistor includes a low impurity concentration region formed in a semiconductor film between an end of a gate electrode and a source or drain. The transistor includes an insulating film extending beyond the gate electrode in the direction of the source and drain, the insulating film having a thicker portion over the channel region of the semiconductor film and a thinner portion over the source and drain regions of the semiconductor film, such that LDD regions can be formed by utilizing the thickness difference between the thick portion of the insulating film and the thin portion of the insulating.
    Type: Grant
    Filed: May 3, 1995
    Date of Patent: August 8, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Mitsufumi Codama
  • Patent number: 7081652
    Abstract: A manufacturing method of a semiconductor device having a side wall insulating film, comprising; forming a gate insulating film on a semiconductor substrate, forming a gate electrode on the gate insulating film, forming a first side wall insulating film on a side surface of the gate electrode, forming a projecting portion on a first upper surface of the semiconductor substrate adjacent to the first side wall insulating film, forming a first diffusion layer by introducing impurities to the projecting portion formed on the semiconductor substrate, removing the first side wall insulating film so as to expose a second upper surface of the semiconductor substrate located below the first side wall insulating film, a width of the second upper surface exposed being a X, forming a second diffusion layer by introducing impurities to the second upper surface of the semiconductor substrate, and forming a second side wall insulating film on the side surface of the gate electrode and the second upper surface of the semicon
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: July 25, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Amane Oishi
  • Patent number: 7078769
    Abstract: Memory elements, switching elements, and peripheral circuits to constitute a nonvolatile memory are integrally formed on a substrate by using TFTs. Since semiconductor active layers of memory element TFTs are thinner than those of other TFTs, impact ionization easily occurs in channel regions of the memory element TFTs. This enables low-voltage write/erase operations to be performed on the memory elements, and hence the memory elements are less prone to deteriorate. Therefore, a nonvolatile memory capable of miniaturization can be provided.
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: July 18, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Keisuke Hayashi
  • Patent number: 7078776
    Abstract: A semiconductor device has a first semiconductor region formed in a semiconductor substrate and having a first conductivity type due to first-conductivity-type active impurities contained in the first semiconductor region, and a second semiconductor region formed between the first semiconductor region and the surface of the semiconductor substrate and having a second conductivity type due to second-conductivity-type active impurities contained in the second semiconductor region. The second semiconductor region contains first-conductivity-type active impurities, whose concentration is zero or smaller than a quarter of a concentration of the second-conductivity-type active impurities contained in the second semiconductor region. An insulating film and a conductor are formed on the second semiconductor region. Third and fourth semiconductor regions of the second conductivity type are formed at the semiconductor surface in contact with the side faces of the second semiconductor region.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: July 18, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumi Nishinohara, Yasushi Akasaka, Kyoichi Suguro