Including Organic Insulating Material Between Metal Levels Patents (Class 257/759)
  • Patent number: 7170174
    Abstract: A contact structure and a method of forming thereof for semiconductor devices or assemblies are described. The method provides process steps to create a contact structure encompassed by a sacrificial contact medium having an opening therein that is lined with a conductive spacer liner that effectively prevents the contact structure from being damaged during removal of the surrounding sacrificial contact medium material. The sacrificial contact medium is then replaced with a non-boron doped dielectric material.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: January 30, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Grant S. Huglin, Robert J. Burke, Sanh D. Tang
  • Patent number: 7170175
    Abstract: A semiconductor device and a production method thereof capable of reducing warps of a semiconductor wafer when packaging at a wafer level in a SiP type semiconductor device, is configured that an insulating layer is formed by stacking a plurality of resin layers on a semiconductor chip formed with an electronic circuit, wiring layers are buried in the insulating layer and electrically connected to electrodes, and formation areas of the plurality of resin layers become gradually smaller from an area of an upper surface of the semiconductor chip as they get farther from the semiconductor chip, so that a side surface and an upper surface of each of the resin layers and the upper surface of the semiconductor chip form a stepwise shape.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: January 30, 2007
    Assignee: Sony Corporation
    Inventor: Osamu Yamagata
  • Patent number: 7170115
    Abstract: A semiconductor integrated circuit device has a plurality of CMOS-type base cells arranged on a semiconductor substrate and m wiring layers, and gate array type logic cells are composed of the base cells and the wiring layers. Wiring within and between the logic cells is constituted by using only upper n (n<m) wiring layers. It becomes possible to shorten a development period and reduce a development cost when a gate array type semiconductor integrated circuit device becomes large in scale.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: January 30, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Shinya Tokunaga, Shigeki Furuya, Yuuji Hinatsu
  • Patent number: 7166859
    Abstract: The present invention provides an organic semiconductor transistor element that includes at least a source electrode, a drain electrode, an organic semiconductor formed to be electrically conductive to the source electrode and the drain electrode, and a gate electrode which is both insulated from the organic semiconductor and capable of applying an electric field. The organic semiconductor includes a polymer compound containing an aromatic tertiary amine.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: January 23, 2007
    Assignee: Fuji Xerox Co., Ltd.
    Inventors: Hidekazu Hirose, Mieko Seki, Daisuke Okuda, Tadayoshi Ozaki, Takeshi Agata, Toru Ishii, Kiyokazu Mashimo, Katsuhiro Sato, Hiroaki Moriyama, Yohei Nishino
  • Patent number: 7164197
    Abstract: A dielectric composite material containing a toughened benzocyclobutene resin and at least about 50% by weight of an inorganic filler. Also electronic packages having at least one conductive layer and at least one layer of the dielectric composite material. The dielectric composite material can have a dielectric constant less than about 3.5, and a dielectric loss of less than about 0.004.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: January 16, 2007
    Assignee: 3M Innovative Properties Company
    Inventors: Guoping Mao, Shichun Qu, Fuming B. Li, Robert S. Clough, Nelson B. O'Bryan
  • Patent number: 7157367
    Abstract: A substrate is provided having semiconductor device structures formed in and on the substrate. The semiconductor device structures comprise conductor layers embedded in openings in dielectric layers having a dielectric constant of less than 4.5. The dielectric layer has a roughness between the dielectric and the conductor wherein the roughness of the dielectric layer divided by the thickness of a barrier layer underlying the conductor layer is 0 to 1. The integrated circuit structure is prepared for failure analysis by removing the low dielectric constant dielectric layers and exposing the conductor layers for further failure analysis by optical examination or scanning electron microscope (SEM).
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: January 2, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hway Chi Lin, Yi-Lung Cheng, Chao-Hsiung Wang
  • Patent number: 7157797
    Abstract: A semiconductor device having: a semiconductor substrate; a plurality of circuit regions formed on the semiconductor substrate, the circuit regions including circuits driven at multiple supply voltages; interlayer insulating film or films formed above the semiconductor substrate; copper wirings buried in the interlayer insulating film or films, a minimum wiring spacing between adjacent wirings in a same layer so that an electric field between adjacent wirings due to an applied voltage difference is set to 0.4 MV/cm or lower; and a copper diffusion preventive film formed on the interlayer insulating film, covering an upper surface of the copper wirings. A semiconductor device is provided which has copper wirings capable of realizing a high reliability in a long term, basing upon newly found knowledge of time dependent failure rate of wiring.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: January 2, 2007
    Assignee: Fujitsu Limited
    Inventor: Hideyuki Kojima
  • Patent number: 7148535
    Abstract: The present invention is an apparatus and system for reducing bondpad capacitance of an integrated circuit. Circuitry of the present invention may produce a negative capacitance approximately equal in magnitude to the capacitance associated with the bondpad and thereby effectively eliminate the bondpad capacitance. Values of the components of the circuitry may be selectively and independently chosen to synthesize a variable range of negative capacitance and thus produce a negative capacitance approximately equal in magnitude to a unique capacitance associated with the bondpad of a variety of integrated circuits.
    Type: Grant
    Filed: August 25, 2003
    Date of Patent: December 12, 2006
    Assignee: LSI Logic Corporation
    Inventor: Prashant K. Singh
  • Patent number: 7148571
    Abstract: Provided is a semiconductor device comprising: an HSQ layer formed on a Cu wiring line and having properties that Cu is unlikely to enter the HSQ layer; a plug formed in the HSQ layer and connected to the Cu wiring line; and a Cu wiring line inserted inside the HSQ layer and connected to the plug. A W layer which allows the plug and the HSQ layer to adhere to each other is formed between the plug and the HSQ layer, and another W layer which allows the Cu wiring line and the HSQ layer to adhere to each other and which is formed of tungsten is formed between the Cu wiring line and the HSQ layer.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: December 12, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7135774
    Abstract: An aspect of the present invention provides an ohmic electrode that includes an SiC (silicon carbide) substrate, an impurity region selectively formed in a surface of the SiC substrate, an insulating film formed on the surface of the SiC substrate, a contact hole opened through the insulating film, to expose a surface of the impurity region, a conductive thermal reaction layer formed in the contact hole in contact with the impurity region, a conductive plug formed to fill the contact hole, an metal wiring formed on the insulating film and electrically coupled to the plug, and a diffusion preventive layer formed between the metal wiring and the plug to electrically couple the plug with the metal wiring, the diffusion preventive layer configured to prevent the diffusion of metal atoms from the metal wiring.
    Type: Grant
    Filed: March 3, 2005
    Date of Patent: November 14, 2006
    Assignee: Nissan Motor Co., Ltd.
    Inventor: Satoshi Tanimoto
  • Patent number: 7132751
    Abstract: A memory includes an insulating layer; a plurality of spaced-apart semiconductor lines formed on the insulating layer; and a plurality of spaced-apart conductive gate lines formed on the insulating layer. Each of the gate lines is disposed to intersect the plurality of semiconductor lines at a plurality of intersections. The semiconductor lines include a plurality of body regions disposed at the intersections, with each of the body regions including a channel formed from a silicon carbide material.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: November 7, 2006
    Assignee: Intel Corporation
    Inventor: Peter L. D. Chang
  • Patent number: 7129579
    Abstract: A semiconductor apparatus includes a semiconductor integrated circuit including a conductive pattern; an insulating layer which is formed on the semiconductor integrated circuit to forms a plurality of base members having uneven heights; an opening which is formed through the insulating layer to expose a part of the conductive pattern; and a conductive layer which is formed on the insulating layer and the opening, the conductive layer is extending from the exposed portion of the conductive pattern to the top surface of the highest base member. An electrode is composed of the insulating layer, the opening and the conductive layer.
    Type: Grant
    Filed: March 17, 2003
    Date of Patent: October 31, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Takashi Ohsumi
  • Patent number: 7129534
    Abstract: A method of forming a magneto-resistive memory element includes forming a groove in a layer of insulating material. A liner is formed conformably within the groove and the groove is filled with copper and then planarized. The electrically conductive material is provided an upper surface that is recessed relative to the upper surface of the layer of insulating material. A cap, which can be conductive (e.g., Ta) or resistive (e.g., TiAIN), is disposed over the electrically conductive material and within the groove. A surface of the cap that faces away from the electrically conductive material, is formed with an elevation substantially equal to that of the edge of the liner, or the cap can extend over the liner edge. At least one layer of magneto-resistive material is disposed over a portion of the cap. Advantageously, the cap can protect the copper line from harmful etch processes required for etching a MRAM stack, while keeping the structure planar after CMP.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: October 31, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Mark E. Tuttle
  • Patent number: 7126208
    Abstract: Provided are a composition for forming porous film which can form a porous film having practical mechanical strength in a simple and low cost process; a porous film and a method for forming the film; and an inexpensive, high-performing and highly reliable semiconductor device comprising the porous film inside. More specifically, provided is a composition for forming porous film, comprising a polymer which is obtainable by hydrolyzing and condensing one or more silane compounds represented by Formula (1), or preferably by hydrolyzing and co-condensing one or more silane compounds represented by Formula (1) and one more silane compounds represented by Formula (2), Formulas (1) and (2) being: (R1)aSi(R2)4-a ??(1) (R3)bSi(R4)4-b ??(2) Also provided is a method for forming porous film comprising a step of applying said composition on a substrate to form film and a step of transforming the film into porous film.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: October 24, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Motoaki Iwabuchi, Fujio Yagihashi, Yoshitaka Hamada, Hideo Nakagawa, Masaru Sasago
  • Patent number: 7122900
    Abstract: A semiconductor device according to this invention comprises a substrate 100 in which semiconductor elements are formed, a first conductor 301 at least a portion of the peripheral surface of which is made of a material comprising copper as a main ingredient, and a first insulative diffusion barrier layer 203 covering at least a portion of the first conductor 301. The first insulative diffusion barrier layer 203 is formed by using a gas mixture at least containing an alkoxy silane represented by the general formula (RO)nSiH4?n (n is an integer in a range from 1 to 3, R represents an alkyl group, an aryl group or a derivative thereof), and an oxidative gas by a plasma CVD. Thus, a semiconductor device comprising copper wiring of high reliability and with less wiring delay time can be provided.
    Type: Grant
    Filed: May 28, 2001
    Date of Patent: October 17, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Kenichi Takeda, Daisuke Ryuzaki, Kenji Hinode, Toshiyuki Mine
  • Patent number: 7115995
    Abstract: Highly porous, low-k dielectric materials are mechanically reinforced to enable the use of these low-k materials as intralayer and interlayer dielectrics in advanced integrated circuits such as those which incorporate highly porous materials in a Cu damascene interconnect technology. An integrated circuit, embodying such a mechanically reinforced dielectric layer generally includes a substrate having interconnected electrical elements therein, a copper-diffusion barrier or etch stop layer disposed over the substrate, the copper-diffusion barrier or etch stop layer being patterned so as to provide a plurality of electrically insulating structures, and a low-k dielectric layer disposed around the plurality of structures.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: October 3, 2006
    Assignee: Intel Corporation
    Inventor: Lawrence D. Wong
  • Patent number: 7105928
    Abstract: Semiconductor devices and methods of forming the semiconductor devices using an HTS (High Temperature Superconductor) layer in combination with a typical diffusion layer between the dielectric material and the copper (or other metal) conductive wiring. The HTS layer includes a superconductor material comprised of barium copper oxide and a rare earth element. The rare earth element yttrium is particularly suitable. For semiconductor devices having other semiconductor circuits or elements above the wiring, a capping layer of HTS material is deposited over the wiring before a cover layer of dielectric is deposited.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: September 12, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Horng-Huei Tseng, Chenming Hu, Chao-Hsiung Wang
  • Patent number: 7102236
    Abstract: An insulating film used for an interlayer insulating film of a semiconductor device and having a low dielectric constant. The insulating film comprises a carbon containing silicon oxide (SiOCH) film which has Si—CH2 bond therein. The proportion of Si—CH2 bond (1360 cm?1) to Si—CH3 bond (1270 cm?1) in the insulating film is preferably in a range from 0.03 to 0.05 measured as a peak height ratio of FTIR spectrum. The insulating film according to the present invention has higher ashing tolerance and improved adhesion to SiO2 film, when compared with the conventional SiOCH film which only has CH3 group.
    Type: Grant
    Filed: January 29, 2004
    Date of Patent: September 5, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Sadayuki Ohnishi, Kouichi Ohto, Tatsuya Usami, Noboru Morita, Kouji Arita, Ryouhei Kitao, Youichi Sasaki
  • Patent number: 7098497
    Abstract: A semiconductor device includes a MOS transistor, interlayer dielectric film, first and second high-dielectric-constant films, and first and second conductive films. The MOS transistor is formed on a semiconductor substrate. The interlayer dielectric film is formed on the semiconductor substrate so as to cover the MOS transistor. The first high-dielectric-constant film is formed on the interlayer dielectric film and has an opening portion that reaches the interlayer dielectric film. The first conductive film contains a metal element and is formed to be partially embedded in the opening portion. The second high-dielectric-constant film is formed on the first conductive film. The second conductive film is formed on the second high-dielectric-constant film.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: August 29, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshiaki Fukuzumi
  • Patent number: 7099173
    Abstract: To provide laminated type semiconductor memory devices that can improve the yield of chips without complicating wirings and components. There are provided a plurality of laminated semiconductor chip layers, and chip selection pads provided on each of the chip layers, which are mutually connected across the chip layers, respectively, such that a chip selection signal for selecting each of the chip layers is commonly inputted in each of the chip layers. Each of the chip layers is equipped with program circuits each of which is capable of programming an output signal, and a chip selection judging circuit that judges a chip selection based on the chip selection signal and an output signal of the program circuit. The program circuit is equipped with writable nonvolatile memory cells, and a logical circuit that is connected to the nonvolatile memory cells and outputs a signal that is different depending on a recoded content in the nonvolatile memory cells, such that a step of melting fuses is not necessary.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: August 29, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Yasunori Koide
  • Patent number: 7095119
    Abstract: A semiconductor device is equipped with fuses each made of a conductive material vertically extended through an insulator layer employed in the semiconductor device. Holes are formed which vertically penetrate the insulator layer. Sidewalls are formed on their corresponding wall surfaces of the holes. The holes formed with the sidewalls are buried with a conductive material.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: August 22, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Shunji Takase
  • Patent number: 7091611
    Abstract: Structures and methods are provided for an improved multilevel wiring interconnect in an integrated circuit assembly. The present invention provides for a multilayer copper wiring structure by electroless, selectively deposited copper in a streamlined process which further reduces both intra-level line to line capacitance and the inter-level capacitance. In particular, an illustrative embodiment of the present invention includes a novel methodology for forming multilevel wiring interconnects in an integrated circuit assembly. The method includes forming a number of multilayer metal lines, e.g. copper lines formed by selective electroless plating, separated by air gaps above a substrate. A low dielectric constant material is deposited between the number of metal lines and the substrate using a directional process. According to the teachings of the present invention, using a directional process includes maintaining a number of air gaps in the low dielectric constant material.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7087982
    Abstract: Dielectric compositions comprised of porous polymeric matrices are prepared using nitrogen-containing polymers as pore-generating agents. The compositions are useful in the manufacture of electronic devices such as integrated circuit devices and integrated circuit packaging devices. The dielectric compositions are prepared by admixing a polymeric nitrogenous porogen with a high temperature, thermosetting host polymer in a suitable solvent, heating the admixture to cure the polymer and provide a vitrified matrix, and then decomposing the porogen using heat, radiation, or a chemical reagent effective to degrade the porogen. The highly porous dielectric materials so prepared have an exceptionally low dielectric constant on the order of 2.5 or less, preferably less than about 2.0. Integrated circuit devices and integrated circuit packaging devices manufactured so as to contain the dielectric material of the invention are provided as well.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Elbert Emin Huang, Teddie Magbitang, Robert Dennis Miller, Willi Volksen
  • Patent number: 7084505
    Abstract: A porous film-forming composition comprising (A) a curable silicone resin having a Mn of at least 100, (B) a micelle-forming surfactant, and (C) a compound which generates an acid upon pyrolysis remains stable during storage. The composition is coated and heat treated to form a porous film which has flatness, uniformity, a low dielectric constant and a high mechanical strength so that it is best suited as an interlayer dielectric film in the fabrication of semiconductor devices.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: August 1, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yoshitaka Hamada, Fujio Yagihashi, Hideo Nakagawa, Masaru Sasago
  • Patent number: 7081640
    Abstract: The present invention provides an organic semiconductor element in which the insulation strength of the insulation layer and the carrier mobility of the organic semiconductor are both high. The semiconductor layer is an organic semiconductor element consisting of an organic compound. A gate oxide film consisting of an oxide of the gate electrode material is provided between the gate electrode and the gate insulation layer. The gate insulation layer consists of an organic compound.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: July 25, 2006
    Assignee: Pioneer Corporation
    Inventors: Yoshihiko Uchida, Kenji Nakamura
  • Patent number: 7078814
    Abstract: A method of forming a semiconductor device, and the device so formed. Depositing alternating layers of a first and a second dielectric material, wherein the first and second dielectric materials are selectively etchable at different rates. Forming a first feature within the alternating layers of dielectric material. Selectively etching the alternating layers of dielectric material to remove at least a portion of the first dielectric material in each layer having the first dielectric material and leaving the second dielectric material as essentially unetched.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: July 18, 2006
    Assignee: International Business Machines Corporation
    Inventor: Anthony K. Stamper
  • Patent number: 7075106
    Abstract: A transfer material comprising an organic thin film uniformly provided by a wet method, etc. with high productivity is used to efficiently produce an organic thin film device such as an organic EL device excellent in light-emitting efficiency, uniformity of light emission and durability.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: July 11, 2006
    Assignee: Fuji Photo Film Co. Ltd.
    Inventors: Takeshi Shibata, Yasushi Araki
  • Patent number: 7071559
    Abstract: A semiconductor structure comprising a substrate including a first layer comprising a first material having a first modulus of elasticity; a first structure comprising a conductor and formed within the substrate, the first structure having an upper surface; and a stress diverting structure proximate the first structure and within the first layer, the stress diverting structure providing a low mechanical stress region at the upper surface of the first structure when a physical load is applied to the first structure, wherein said low mechanical stress region comprises stress values below the stress values in areas not protected by the stress diverting structure. The stress diverting structure comprises a second material having a second modulus of elasticity less than the first modulus of elasticity, the second material selectively formed over the upper surface of the first structure for diverting mechanical stress created by the physical load applied to the first structure.
    Type: Grant
    Filed: July 16, 2004
    Date of Patent: July 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Elie Awad, Mariette A. Awad, Kai D. Feng
  • Patent number: 7071539
    Abstract: An electrical interconnect structure on a substrate, which includes: a first low-k dielectric layer; a spin-on low k CMP protective layer that is covalently bonded to the first low-k dielectric layer; and a CVD deposited hardmask/CMP polish stop layer is provided. Electrical vias and lines can be formed in the first low k dielectric layer. The spin-on low k CMP protective layer prevents damage to the low k dielectric which can be created due to non-uniformity in the CMP process from center to edge or in areas of varying metal density. The thickness of the low-k CMP protective layer can be adjusted to accommodate larger variations in the CMP process without significantly impacting the effective dielectric constant of the structure.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: July 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Lee M Nicholson, Wei-Tsu Tseng, Christy S Tyberg
  • Patent number: 7067920
    Abstract: A semiconductor device includes an upper wiring layer, a lower wiring layer, an electrically insulating layer sandwiched between the upper and lower wiring layers, a tungsten plug formed in a through-hole formed through the electrically insulating layer, for electrically connecting the upper and lower wiring layers to each other, a titanium film covering an inner surface of the through-hole and a surface of the electrically insulating layer therewith, a first titanium nitride film entirely covering the titanium film therewith, and a second titanium nitride film covering the first titanium nitride film and a surface of the tungsten plug therewith.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: June 27, 2006
    Assignee: Elpida Memory, Inc.
    Inventor: Yoshitaka Ishihara
  • Patent number: 7067923
    Abstract: A first insulation film is made of a silicon material and is provided on a semiconductor base. A second insulation film is made of an organic material and is provided on the first insulation film. The second insulation film is thicker than the first insulation film. A third insulation film is thinner than the second insulation film and is provided on the second insulation film. The third insulation film is made of a silicon material and has a moisture resistance property. A fourth insulation film is made of an organic material. The fourth insulation film is provided on the third insulation film to prevent a damage on the third insulation film. A wiring layer is provided on the fourth insulation film.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: June 27, 2006
    Assignee: Sanken Electric Co., Ltd.
    Inventors: Hiromichi Kumakura, Hirokazu Goto, Takasi Kato
  • Patent number: 7064440
    Abstract: A semiconductor device includes a semiconductor constructing body formed on a base member, and having a semiconductor substrate and a plurality of external connecting electrodes formed on the semiconductor substrate. A wiring board is formed around the semiconductor constructing body, and has first interconnections on at least a surface thereof. Second interconnections are formed on the semiconductor constructing body and wiring board, and electrically connected to the external connecting electrodes of the semiconductor constructing body.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: June 20, 2006
    Assignee: Casio Computer Co., Ltd.
    Inventors: Hiroyasu Jobetto, Ichiro Mihara
  • Patent number: 7060634
    Abstract: An integrated circuit is provided comprising a substrate and discrete areas of electrically insulating and electrically conductive material, wherein the electrically insulating material is a hybrid organic-inorganic material that has a density of 1.45 g/cm3 or more and a dielectric constant of 3.0 or less. The integrated circuit can be made by a method comprising: providing a substrate; forming discrete areas of electrically insulating and electrically conductive material on the substrate; wherein the electrically insulating material is deposited on the substrate followed by heating at a temperature of 350° C. or less; and wherein the electrically insulating material is a hybrid organic-inorganic material that has a density of 1.45 g/cm3 or more after densification. Also disclosed is a method for making an integrated circuit comprising performing a dual damascene method with an electrically conductive material and a dielectric, the dielectric being a directly photopatterned hybrid organic-inorganic material.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: June 13, 2006
    Assignee: Silecs Oy
    Inventors: Juha T. Rantala, Jason S. Reid, Nungavram S. Viswanathan, T. Teemu T. Tormanen
  • Patent number: 7057286
    Abstract: A method for manufacturing a multi-level interconnection structure in a semiconductor device includes the steps of consecutively forming an anti-diffusion film and an interlevel dielectric film on a first level Cu layer, forming first through third hard mask films on the interlevel dielectric film, etching the interlevel dielectric film by using the first hard mask to form first through-holes, etching the first and second hard mask films and a top portion of the interlevel dielectric film by using the third hard mask film to form trenches, and etching the anti-diffusion film to form through-holes. The first hard mask film protects the interlevel dielectric film during removal of the second and third hard mask films.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: June 6, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7057288
    Abstract: A wiring groove is formed in an insulating film, and then a reformed layer is formed in the vicinity of the wiring groove in the insulating film. Thereafter, a conductive film is buried in the wiring groove, thereby forming a wire. Subsequently, the reformed layer is removed to form a slit, and then a low-dielectric-constant film having a relative dielectric constant lower than the insulating film is buried in the slit.
    Type: Grant
    Filed: June 12, 2003
    Date of Patent: June 6, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hiroshi Yuasa
  • Patent number: 7053495
    Abstract: A semiconductor integrated circuit device includes: Si substrate; multilevel interconnect layer formed on the Si substrate; and dielectric layer formed on the multilevel interconnect layer. External-component-connecting wire, ordinary wire, fuse wire, stepper alignment mark, and target mark are formed out of an identical copper film in the uppermost metal layer. External-component-connecting pad electrode, testing-processing alignment mark, and stepper alignment mark are formed out of an identical aluminum alloy film on the dielectric film. In laser-machining the fuse wire, alignment using the target mark formed in the metal layer including the fuse wire reduces alignment errors caused from the machining.
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: May 30, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Katsuhiko Tsuura
  • Patent number: 7045453
    Abstract: A structure incorporates very low dielectric constant (k) insulators with copper wiring to achieve high performance interconnects. The wiring is supported by a relatively durable low k dielectric such as SiLk or SiO2 and a very low k and less-robust gap fill dielectric is disposed in the remainder of the structure, so that the structure combines a durable layer for strength with a very low k dielectric for interconnect electrical performance.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: May 16, 2006
    Assignee: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Timothy J. Dalton, Stephen M. Gates, Mahadevaiyer Krishnan, Satya V. Nitta, Sampath Purushothaman, Sean P. E. Smith
  • Patent number: 7045897
    Abstract: An electrical assembly which includes a circuitized substrate comprised of an organic dielectric material having a first electrically conductive pattern thereon. At least part of the dielectric layer and pattern form the first, base portion of an organic memory device, the remaining portion being a second, polymer layer formed over the part of the pattern and a second conductive circuit formed on the polymer layer. A second dielectric layer if formed over the second conductive circuit and first circuit pattern to enclose the organic memory device. The device is electrically coupled to a first electrical component through the second dielectric layer and this first electrical component is electrically coupled to a second electrical component. A method of making the electrical assembly is also provided, as is an information handling system adapted for using one or more such electrical assemblies as part thereof.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: May 16, 2006
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Frank D. Egitto, John M. Lauffer, How T. Lin, Voya R. Markovich, David L. Thomas
  • Patent number: 7042092
    Abstract: The capacitance of a multilevel metal interconnect formed on a semiconductor substrate can be adjusted, and thereby optimized, to respond to signals from devices that are formed on the underlying substrate by forming capacitive structures in trenches which have been formed using the top metal layer as a mask.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: May 9, 2006
    Assignee: National Semiconductor Corporation
    Inventors: Visvamohan Yegnashankaran, Gobi R. Padmanabhan
  • Patent number: 7041586
    Abstract: A semiconductor device includes a multilayer interconnection structure including an organic interlayer insulation film in which a conductor pattern is formed by a damascene process, wherein the organic interlayer insulation film carries thereon an organic spin-on-glass film.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: May 9, 2006
    Assignee: Fujitsu Limited
    Inventors: Hiroshi Kudo, Masanobu Ikeda, Kenichi Watanabe, Yoshiyuki Ohkura
  • Patent number: 7042095
    Abstract: Provided are a semiconductor device comprising a semiconductor substrate, a first insulating film formed thereover, interconnects formed over the first insulating film and having copper as a main component, a second insulating film formed over the upper surface and side surfaces of each of the interconnects and over the first insulating film and having a function of suppressing or preventing copper diffusion, and a third insulating film formed over the second insulating film and having a dielectric constant lower than that of the second insulating film; and a method of manufacturing the semiconductor device. This invention makes it possible to improve dielectric breakdown strength between copper interconnects and reduce capacitance between the copper interconnects.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: May 9, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Junji Noguchi, Tsuyoshi Fujiwara
  • Patent number: 7035140
    Abstract: Embodiments of organic-polymer-based memory elements that are stable to repeated READ access operations are disclosed. Organic-polymer-based memory elements can suffer cumulative degradation that occurs over repeated READ access operations due to the introduction of electrons into the organic-polymer layer. In general, entry of electrons into the organic-polymer layer generally lags initiation of a hole current within the organic-polymer layer following application of a voltage potential across the memory elements. Therefore, stable memory elements can be fabricated by introducing electron-blocking layers and/or limiting the duration of applied voltages during READ access operations.
    Type: Grant
    Filed: January 16, 2004
    Date of Patent: April 25, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Warren B. Jackson, Sven Moller
  • Patent number: 7034399
    Abstract: A dielectric layer is made porous by treating the dielectric material after metal interconnects are formed in or through that layer. The porosity lowers the dielectric constant of the dielectric material. The dielectric material may be subjected to an electron beam or a sonication bath to create the pores. The structure has smooth sidewalls for metal interconnects extending through the dielectric layer.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: April 25, 2006
    Assignee: Intel Corporation
    Inventors: Grant M. Kloster, Kevin P. O'Brien, Justin K. Brask, Michael D. Goodner, Donald Bruner
  • Patent number: 7023067
    Abstract: A bonding pad for an integrated circuit, where the bonding pad overlies a fragile dielectric layer. A lower metal layer stack overlies the fragile dielectric layer, and a hard dielectric layer overlies the lower metal layer stack. An upper metal layer stack overlies the hard dielectric layer, where the upper metal layer stack forms voids extending into the upper metal layer stack from an exposed upper surface of the upper metal layer stack. The voids define deformable protrusions in the upper surface of the upper metal layer stack, for at least partially absorbing forces applied to the bonding pad during a bonding operation. Electrically conductive vias extend from the lower metal layer stack through the hard dielectric layer to the upper metal layer stack, and electrically connect the lower metal layer stack to the upper metal layer stack.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: April 4, 2006
    Assignee: LSI Logic Corporation
    Inventors: Derryl D. J. Allman, Charles E. May
  • Patent number: 7023093
    Abstract: A structure incorporates very low dielectric constant (k) insulators with copper wiring to achieve high performance interconnects. The wiring is supported by a relatively durable low k dielectric such as SiLk or SiO2 and a very low k and less robust gap fill dielectric is disposed in the remainder of the structure, so that the structure combines a durable layer for strength with a very low k dielectric for interconnect electrical performance.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: April 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Timothy J. Dalton, Stephen M. Gates, Mahadevaiyer Krishnan, Satya V. Nitta, Sampath Purushothaman, Sean P. E. Smith
  • Patent number: 7023092
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organo silane compound and an oxidizing gas. The oxidized organo silane film has excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organo silane film can also be used as an etch stop or an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organo silane films also provide excellent adhesion between different dielectric layers. A preferred oxidized organo silane film is produced by reaction of methyl silane, CH3SiH3, and N2O.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: April 4, 2006
    Assignee: Applied Materials Inc.
    Inventors: Wai-Fan Yau, David Cheung, Shin-Puu Jeng, Kuowei Liu, Yung-Cheng Yu
  • Patent number: 7019328
    Abstract: A transistor is formed by applying modifier coatings to source and drain contacts and/or to the channel region between those contacts. The modifier coatings are selected to adjust the surface energy pattern in the source/drain/channel region such that semiconductor printing fluid is not drawn away from the channel region. For example, the modifier coatings for the contacts can be selected to have substantially the same surface energy as the modifier coating for the channel region. Semiconductor printing fluid deposited on the channel region therefore settles in place (due to the lack of a surface energy differential) and forms a relatively thick active semiconductor region between the contacts. Alternatively, the modifier coatings can be selected to have lower surface energies than the modifier coating in the channel region, which actually causes semiconductor printing fluid to be drawn towards the channel region.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: March 28, 2006
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Michael L. Chabinyc, Ana C. Arias
  • Patent number: 7019400
    Abstract: A semiconductor device having a multilayer interconnection structure includes a chip semiconductor substrate, a plurality of interlayer insulating layers disposed on the chip semiconductor substrate, a circuit section disposed on the chip semiconductor substrate, and a plurality of walls that extend through the interlayer insulating layers and are arranged along the peripheral portions of the chip semiconductor substrate such that the walls surround the circuit section. The walls include upper sub-walls and lower sub-walls. The upper sub-walls extend through one of the interlayer insulating layers and further extend into another one of the interlayer insulating layers disposed under the layer through which the upper sub-walls extend. The lower sub-walls extend through one of the interlayer insulating layers disposed under the layer through which the upper sub-walls extend. Lower portions of the upper sub-walls each extend into corresponding upper portions of the lower sub-walls.
    Type: Grant
    Filed: March 22, 2004
    Date of Patent: March 28, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Manabu Iguchi, Akira Matumoto, Masahiro Komuro
  • Patent number: 7015581
    Abstract: A low-k dielectric for use as an interlayer for an interconnect structure is provided. The dielectric of the present invention is an alkaline boron silicate glass which when formulated in certain compositional ranges can undergo spinodal decomposition when processed using certain thermal profiles. Spinodal decomposition is a chemical and physical separation of the silicate glass into a distinct interpenetrating microstructure which contains a substantially pure silicon dioxide network and a boron-rich network. The dimension (i.e., scale), and the amount of separation can be controlled through compositional and thermal control during the processing of the silicate glass.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: March 21, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Daniel C. Edelstein
  • Patent number: 7012335
    Abstract: A wiring of a semiconductor device and a method of manufacturing the same are disclosed. A first conductive layer is formed on a semiconductor substrate followed by a first insulation material which is deposited on the first conductive layer to form a first insulation layer. Then, a CMP process is implemented to form the first insulation layer. A second insulation layer is formed by depositing a second insulation material on the first insulation layer in order to cover a scratch formed on the first insulation layer after implementing the CMP process. A first etching pattern is formed by etching the second insulation layer to a thickness less than a thickness of the second insulation layer. Thereafter, a conductive material is deposited on the etching pattern and then a planarizing process is implemented to form a conductive pattern having a damascene shape.
    Type: Grant
    Filed: December 15, 2000
    Date of Patent: March 14, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hoon Lee, Kung-Hyon Nam