Including Organic Insulating Material Between Metal Levels Patents (Class 257/759)
  • Patent number: 7009280
    Abstract: An interlevel dielectric layer (ILD) comprises a low-k dielectric layer; and a low-k dielectric film, deposited under compressive stress, atop the dielectric layer. The dielectric layer comprises a low-k material, such as an organosilicon glass (OSG) or a SiCOH material. The dielectric film has a thickness, which is 2%–10% of the thickness of the dielectric layer, has a similar chemical composition to the dielectric layer, but has a different morphology than the dielectric layer. The dielectric film is deposited under compressive stress, in situ, at or near the end of the dielectric layer deposition by altering a process that was used to deposit the low-k dielectric layer.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: March 7, 2006
    Assignee: International Business Machines Corporation
    Inventors: Matthew Angyal, Edward Paul Barth, Sanjit Kumar Das, Charles Robert Davis, Habib Hichri, William Francis Landers, Jia Lee
  • Patent number: 6992391
    Abstract: A dual-damascene process where first alternate ILDs are made of a first material and second alternate ILDs are made of a second material. Each material is etchable at a faster rate than the other in the presence of different etchant such as for an organic polymer and an inorganic low k material. This allows the ILDs to be deposited alternately on one another without an etchant stop layer thereby reducing capacitance.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: January 31, 2006
    Assignee: Intel Corporation
    Inventors: Andrew Ott, Lawrence Wong, Patrick Morrow, Jihperng Leu, Grant M. Kloster
  • Patent number: 6987307
    Abstract: The present invention provides for low cost discrete inductor devices in an all organic platform. The inductor devices can utilize virtually any organic material that provides the desired properties, such as liquid crystalline polymer (LCP) or polyphenyl ether (PPE), in a multilayer structure, wherein the organic materials have low moisture uptake and good temperature stability. Each layer may be metalized and selectively interconnected by vias formed in respective layers so as to form winding or coiled inductors. The inductor devices may advantageously include external shielding formed by metalizing the side walls and top surface of the inductor devices on in-built shielding achieved by the utilization of the hybrid co-planar waveguide topologies. The inductor devices can be configured for either ball grid array (BGA)/chip scale package (CSP) or surface mount device (SMD) mounting to circuit boards.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: January 17, 2006
    Assignee: Georgia Tech Research Corporation
    Inventors: George E. White, Madhavan Swaminathan, Venkatesh Sundaram, Sidharth Dalmia
  • Patent number: 6979848
    Abstract: A conductive system and a method of forming an insulator for use in the conductive system is disclosed. The conductive system comprises a foamed polymer layer on a substrate. The foamed polymer layer has a surface that is hydrophobic, and a plurality of conductive structures are embedded in the foamed polymer layer. An insulator is formed by forming a polymer layer having a thickness on a substrate. The polymer layer is foamed to form a foamed polymer layer having a surface and a foamed polymer layer thickness, which is greater than the polymer layer thickness. The surface of the foamed polymer layer is treated to make the surface hydrophobic.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: December 27, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 6979903
    Abstract: An integrated circuit is provided having a semiconductor substrate with a semiconductor device. A dielectric layer formed over the semiconductor substrate has an opening provided therein. The dielectric layer is of non-barrier dielectric material capable of being changed into a barrier dielectric material. The dielectric layer around the opening is changed into the barrier dielectric material and the conductor core material is deposited to fill the opening. The conductor core is processed to form a channel for the integrated circuit. This allows a selective conversion of dielectric materials with no diffusion barrier properties to be converted into good barrier materials which allows larger channels and shrinkage of the integrated circuit.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: December 27, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Pin-Chin Connie Wang, Minh Van Ngo
  • Patent number: 6977438
    Abstract: A dual damascene circuit has lower wiring and upper wiring positioned in regions formed as two layers including a CH-based organic polymer layer and a low-permittivity layer made of porous MSQ or the like. The organic polymer layer and the low-permittivity layer have high etching selectively with respect to each other to form an upper groove and a via hole to a good shape, allowing upper wiring and the interconnect line to have good electric characteristics. The organic polymer layer and the low-permittivity layer are low in density and permittivity, thus reducing the effective permittivity of the dual damascene circuit in its entirety.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: December 20, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 6975033
    Abstract: A semiconductor device includes a semiconductor substrate on which an element is formed, a low dielectric constant insulation film formed over the semiconductor substrate and having a relative dielectric constant of 3 or lower, a plug and a wiring layer buried in the low dielectric constant insulation film, and a high Young's modulus insulation film having a Young's modulus of 15 GPa or higher and formed in contact with a side of the plug between the low dielectric constant insulation film and the plug.
    Type: Grant
    Filed: September 20, 2002
    Date of Patent: December 13, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Sachiyo Ito, Masahiko Hasunuma, Takashi Kawanoue
  • Patent number: 6972434
    Abstract: The invention relates to a substrate for a display, a method of manufacturing the same, and a display having the same and provides a substrate for a display which can be manufactured through simple steps with high reliability, a method of manufacturing the same, and a display having the same. The substrate is configured to have a gate bus line, an OC layer formed on the gate bus line, a pixel electrode formed on the OC layer at each pixel region, and a gate terminal for electrically connecting an external circuit and the gate bus line.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: December 6, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Katsunori Misaki, Shiro Hirota
  • Patent number: 6969912
    Abstract: An embedded microelectronic capacitor incorporating at least one ground shielding layer is provided which includes an upper ground shielding layer that has an aperture therethrough; an electrode plate positioned spaced-apart from the upper ground shielding layer that has a via extending upwardly away from the electrode plate through the aperture in the upper ground shielding layer providing electrical communication to the electrode plate without shorting to the upper ground shielding layer; a middle ground shielding layer positioned in the same plane of the electrode plate, surrounding while spaced-apart from the electrode plate at a predetermined distance; a lower ground shielding layer positioned spaced-apart from the electrode plate in an opposing relationship to the upper ground shielding layer; and a dielectric material embedding the upper ground shielding layer; the middle ground shielding layer and the lower ground shielding layer.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: November 29, 2005
    Assignee: Industrial Technology Research Institute
    Inventors: Uei-Ming Jow, Pei-Shen Wei, Ching-Liang Weng, Chun-Kun Wu, Chang-Sheng Chen, Ying-Jiunn Lai
  • Patent number: 6969903
    Abstract: A resistor device structure and method of manufacture therefore, wherein the resistor device structure invention includes a plurality of alternating conductive film and insulative film layers, at least two of the conductive film layers being electrically connected in parallel to provide for high current flow through the resistor device at high frequencies with increased temperature and mechanical stability. The alternating conductive film and insulative film layers may be of a planar or non-planar geometric spatial orientation. The alternating conductive film and insulative film layers may include lateral and vertical portions designed to enable a uniform current density flow within the structure itself through a self-ballasting effect within the physical resistor.
    Type: Grant
    Filed: January 19, 2004
    Date of Patent: November 29, 2005
    Assignee: International Business Machines Corporation
    Inventors: Ebenezer E. Eshun, Steven H. Voldman
  • Patent number: 6969911
    Abstract: In a wiring structure of a semiconductor device, dielectric tolerance of the wiring is improved by preventing diffusion of the wiring material. The wiring structure of the semiconductor device includes a first insulating film having plural grooves, plural wiring films formed protrusively above tops of the first insulating film among the grooves, plural barrier films formed on bottoms of the wiring films and up to a higher position than the tops on sides of the wiring films, first cap films including metal films formed on tops of the wiring films, and a second cap film formed on at least respective sides of the first cap films and the barrier films.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: November 29, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Kazuhide Abe
  • Patent number: 6967407
    Abstract: A semiconductor device capable of high speed operation with a substantially small interlayer capacitance is produced by steps of using an insulating film comprising an organic insulating film and an insulating film composed of an organometallic polymer material as an interlayer insulating film formed by coating, patterning the insulating film in a semi-thermosetting state, etching the organic insulating film as the lower layer by means of the organometallic polymer as a mask, using a plasma gas containing oxygen as the main component, and then conducting ultimate baking treatment of these insulating films.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: November 22, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Miharu Otani, Jun Tanaka, Katsuhiko Hotta, Yasumichi Suzuki, Takashi Inoue
  • Patent number: 6967344
    Abstract: Multi-terminal electronic switching devices comprising a chalcogenide material switchable between a resistive state and a conductive state. The devices include a first terminal, a second terminal and a control terminal. Application of a control signal to the control terminal modulates the conductivity of the chalcogenide material between the first and second terminals and/or the threshold voltage required to switch the chalcogenide material between the first and second terminals from a resistive state to a conductive state. The devices may be used as interconnection devices or signal providing devices in circuits and networks.
    Type: Grant
    Filed: March 10, 2003
    Date of Patent: November 22, 2005
    Assignee: Energy Conversion Devices, Inc.
    Inventors: Stanford R. Ovshinsky, Boil Pashmakov
  • Patent number: 6963136
    Abstract: Circuit elements and wirings constituting a circuit, and first electrodes electrically connected to such a circuit are provided on one main surface of a semiconductor substrate. An organic insulating film is formed on the circuit except for openings on the surfaces of the first electrodes. First and second external connecting electrodes are provided on the organic insulating film. At least one conductive layer for electrically connecting the first and second external connecting electrodes and the first electrodes is placed on the organic insulating film.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: November 8, 2005
    Assignees: Renesas Technology Corporation, Hitachi ULSI Systems Co., Ltd.
    Inventors: Masao Shinozaki, Kenji Nishimoto, Takashi Akioka, Yutaka Kohara, Sanae Asari, Shusaku Miyata, Shinji Nakazato
  • Patent number: 6963137
    Abstract: This invention relates to low dielectric constant layers formed on the substrate having: (a) a base zone, adjacent the substrate, having pores distributed therein, at least the majority of the pores having diameters in the range 1 to 10 nm; (b) an atomically smooth surface zone, spaced from the substrate; and (c) an intermediate zone having pores distributed therein, at least the majority of the pores having diameters equal to or less than 2 nm so that there is a general reduction in pore size from the bottom of the layer towards the top.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: November 8, 2005
    Assignee: Trikon Holdings Limited
    Inventor: Kathrine Giles
  • Patent number: 6962870
    Abstract: A method of manufacturing a semiconductor device comprising forming a protective film on a surface of a lower-layer interconnection, and forming a multilayer-structured film by stacking a first porous film, a first non-porous film, a second porous film, and a second non-porous film on a surface of the protective film in this order, and forming a via hole and an interconnect trench. After a resist mask is removed, protective film exposed at a bottom of the via hole is removed. An upper-layer interconnection of dual damascene structure is formed by embedding an interconnect material in the via hole and the interconnect trench. The first non-porous film includes a first layer has a high etching selectivity ratio relative to the protective film, and a second layer has a high etching selectivity ratio relative to the resist mask and the second porous film.
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: November 8, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideaki Masuda, Hideshi Miyajima, Rempei Nakata
  • Patent number: 6960833
    Abstract: To provide a semiconductor device having copper wiring layers and organic insulating resin layers with less separation and its manufacture method. A semiconductor device has: a semiconductor substrate formed with a number of semiconductor elements; a first interlayer insulating film formed above the semiconductor substrate and having a first wiring recess; a first copper wiring embedded in the first wiring recess; a second interlayer insulating film having a second wiring recess, the second interlayer insulating film including a copper diffusion preventing layer formed on the first copper wiring and the first interlayer insulating film, an oxide film formed on the copper diffusion preventing layer, and an organic insulating resin layer formed on the oxide film; and a second copper wiring embedded in the second wiring recess.
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: November 1, 2005
    Assignee: Fujitsu Limited
    Inventors: Satoshi Otsuka, Shun-ichi Fukuyama
  • Patent number: 6958542
    Abstract: There is disclosed a semiconductor device comprising an insulating film which is provided in at least one layer above a substrate and whose relative dielectric constant is 3.4 or less, at least one conductive layer provided in the insulating film, at least one conductive plug which is formed in the insulating film and which is electrically connected to the conductive layer to form a conduction path, at least one reinforcing material which is provided under at least the conductive layer and whose Young's modulus is 30 GPa or more, and at least one first reinforcing plug which is connected to the conductive layer and which is formed in contact with the reinforcing material.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: October 25, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiko Hasunuma, Sachiyo Ito
  • Patent number: 6958545
    Abstract: A system and method for correcting wiring congestion in a placed and partially or fully globally-routed VLSI chip design while avoiding adding new timing or electrical violations or other design constraints. Globally-congested areas are identified along with determining terminated and non-terminated wires in the congested areas. The process includes optimizing the identified congestion areas, incrementally rerouting affected nets, testing the resultant design legality and congestion metrics, and committing or reversing the optimizations and reroutings. The optimizations further includes the movement of logic cells and decomposition, recomposition or any other modification of logic cell structures (possibly combined with cell movement) to move terminated wires to less congested grid edges, rearrangement of commutative connections within or between cells, or addition of buffers to cause reroutes of feedthrough wires.
    Type: Grant
    Filed: January 12, 2004
    Date of Patent: October 25, 2005
    Assignee: International Business Machines Corporation
    Inventors: Pooja M. Kotecha, Rama Gopal Gandham, Ruchir Puri, Louise H. Trevillyan, Adam P. Matheny
  • Patent number: 6958525
    Abstract: A low dielectric film forming material contains siloxane resin and polycarbosilane dissolved in solvent. By using this solution, a low dielectric film is formed which contains siloxane resin and polycarbosilane bonded to the siloxane resin. Material of a low dielectric film is provided which is suitable for inter-level insulating film material. A semiconductor device is also provided which has a low dielectric constant film and high reliability.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: October 25, 2005
    Assignee: Fujitsu Limited
    Inventors: Yoshihiro Nakata, Shun-ichi Fukuyama, Katsumi Suzuki, Ei Yano, Tamotsu Owada, Iwao Sugiura
  • Patent number: 6958547
    Abstract: Multiple level interconnect structures and methods for fabricating the interconnect structures are disclosed. The interconnect structures may contain an interconnect line, an electrolessly deposited metal layer formed over the interconnect line, a via formed over the metal layer, and a second interconnect line formed over the via. Often the metal layer contains a cobalt or nickel alloy and provides an etch stop layer for formation of an opening corresponding to the via. The metal layer may provide protection to the underlying interconnect line and may replace a traditional protective dielectric layer. The metal layer is conductive, rather than dielectric, and provides a shunt for passage of electrical current between the via and the interconnect line. Similar metal layers may also be used within the interconnect structures as via liner layers and via plugs.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: October 25, 2005
    Assignee: Intel Corporation
    Inventors: Valery M. Dubin, Chin-Chang Cheng, Makarem Hussein, Phi L. Nguyen, Ruth A. Brain
  • Patent number: 6958540
    Abstract: Interconnect structures are disclosed for forming dual damascene back-end-of-line (BEOL) structure using materials for the vias or studs which are different from those used for the line conductors, or using materials for the via liner which are different from those used for the trench liner, or having a via liner thickness different from that of the trench liner. Preferably, a thick refractory metal is used in the vias for improved mechanical strength while using only a thin refractory metal in the trenches to provide low resistance.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: October 25, 2005
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Gambino, Edward Cooney, III, Anthony Stamper, William Thomas Motsiff, Michael Lane, Andrew Simon
  • Patent number: 6953984
    Abstract: A low dielectric constant, thermally stable hydrogenated oxidized silicon carbon film which can be used as an interconnect dielectric in IC chips is disclosed. Also disclosed is a method for fabricating a thermally stable hydrogenated oxidized silicon carbon low dielectric constant film utilizing a plasma enhanced chemical vapor deposition technique. Electronic devices containing insulating layers of thermally stable hydrogenated oxidized silicon carbon low dielectric constant materials that are prepared by the method are further disclosed. To enable the fabrication of thermally stable hydrogenated oxidized silicon carbon low dielectric constant film, specific precursor materials having a ring structure are preferred.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: October 11, 2005
    Assignee: International Business Machines Corporation
    Inventors: Alfred Grill, Christopher Vincent Jahnes, Vishnubhai Vitthalbhai Patel, Laurent Claude Perraud
  • Patent number: 6952051
    Abstract: An interlevel dielectric structure includes first and second dielectric layers between which are located lines of a conductive material with a dielectric material in spaces between the lines of conductive material, with the lower surface of the dielectric material extending lower than the lower surface of lines of conductive material adjacent thereto, and the upper surface of the dielectric material extending higher than the upper surface of conductive material adjacent thereto, thus reducing fringe and total capacitance between the lines of conductive material. The dielectric material, which has a dielectric constant of less than about 3.6, does not extend directly above the upper surface of the lines of conductive material, allowing formation of subsequent contacts down to the lines of conductive material without exposing the dielectric material to further processing. Various methods for forming the interlevel dielectric structure are disclosed.
    Type: Grant
    Filed: July 28, 2000
    Date of Patent: October 4, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Anand Srinivasan, Ravi Iyer
  • Patent number: 6952036
    Abstract: The present invention provides a thin film transistor structure in which at least a trench is formed in an insulating polymer film formed on a substrate. In the thin film transistor structure, a trench formed in the insulating polymer film accommodates a gate wiring constituted of a plurality of conductive layers. Provided also are a method of manufacturing the thin film transistor structure, and a display device including a thin film transistor array composed of the thin film transistors constituted as described above.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: October 4, 2005
    Assignee: International Business Machines Corporation
    Inventors: Hiroshi Suzuki, Kuniaki Sueoka
  • Patent number: 6949830
    Abstract: A semiconductor device including an underlying structure having a silicon carbide layer covering a copper wiring, and growing silicon oxycarbide on the underlying structure by vapor deposition using, as source gas, tetramethylcyclotetrasiloxane, carbon dioxide gas and oxygen gas, a flow rate of said oxygen gas being at most 3% of a flow rate of the carbon dioxide gas. The surface of the silicon carbide layer of the underlying structure may be treated with a plasma of weak oxidizing gas which contains oxygen and has a molecular weight larger than that of O2 to bring the surface more hydrophilic. Film peel-off and cracks in the interlayer insulating layer decrease.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: September 27, 2005
    Assignee: Fujitsu Limited
    Inventors: Tamotsu Owada, Shun-ichi Fukuyama, Hirofumi Watatani, Kengo Inoue, Atsuo Shimizu
  • Patent number: 6949829
    Abstract: With a stopper layer 19 as an etching stopper, a second groove 14a and a contact hole 13a are formed. Copper is buried inside the second groove 14a and the contact hole 13a, thereby forming a plug layer 22 and an overlying wiring layer 21 connected to an underlying wiring layer 17 via the plug layer 22. The stopper layer 19 is comprised of Si, C and N as essential components. First and second cap layers 18 and 23 are also comprised of Si, C and N as essential components.
    Type: Grant
    Filed: September 11, 2001
    Date of Patent: September 27, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Takashi Akahori, Gishi Chung, Kohei Kawamura
  • Patent number: 6949815
    Abstract: A semiconductor device has an LSI device provided with a plurality of power supply line connection pads and ground line connection pad in a peripheral edge part of a circuit-formation surface, metal foil leads 5 electrically connected to each of the pads and adhered to the LSI device via an insulation layer, and decoupling capacitors mounted on one surface of the metal foil leads.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: September 27, 2005
    Assignee: NEC Corporation
    Inventors: Takao Yamazaki, Toru Mori, Akinobu Shibuya, Shintaro Yamamichi, Yuzo Shimada
  • Patent number: 6949456
    Abstract: A method for manufacturing a semiconductor device includes: (i) depositing a sacrificial layer made of an organic polymer such as benzocyclobutene on a substrate having a circuit formed thereon; (ii) etching the sacrificial layer except for a portion where air gaps are to be formed; (iii) depositing a low-dielectric layer over the substrate until the portion for air gaps is entirely enclosed in the low-dielectric layer; (iv) etching the low-dielectric layer to form via holes and trenches there through; (v) prior or subsequent to step (iv), removing the portion for air gaps; and (vi) depositing copper in the vias and trenches which are filled with the copper contacting a surface of the substrate.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: September 27, 2005
    Assignee: ASM Japan K.K.
    Inventor: Devendra Kumar
  • Patent number: 6949458
    Abstract: A method and structure for forming a sidewall image transfer conductor having a contact pad includes forming an insulator to include a recess, depositing a conductor around the insulator, and etching the conductor to form the sidewall image transfer conductor, wherein the conductor remains in the recess and forms the contact pad and the recess is perpendicular to the sidewall image transfer conductor.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: September 27, 2005
    Assignee: International Business Machines Corporation
    Inventors: Edward W. Conrad, Chung H. Lam, Dale W. Martin, Edmund Sprogis
  • Patent number: 6949832
    Abstract: An interconnect trench is formed on a dielectric layer 12 and a first HSQ layer 14 formed on a semiconductor substrate, and a tantalum family barrier metal layer 24a is formed all over the substrate. Then a seed copper-containing metal layer 60 and a plated copper layer 62 are formed so as to fill a part of the interconnect trench. After that, a bias-sputtered copper-containing metal layer 64 is formed on the plated copper layer 62 so as to fill the remaining portion of the interconnect trench and then heat treatment is performed. As a result, a dissimilar metal contained in the bias-sputtered copper-containing metal layer 64 diffuses uniformly into the plated copper layer 62.
    Type: Grant
    Filed: July 7, 2003
    Date of Patent: September 27, 2005
    Assignee: NEC Electronics Corporation
    Inventors: Hiroyuki Kunishima, Toshiyuki Takewaki
  • Patent number: 6946736
    Abstract: Provided is a process for lithographically patterning a material on a substrate comprising the steps of (a) depositing a radiation sensitive material on the substrate by chemical vapor deposition; (b) selectively exposing the radiation sensitive material to radiation to form a pattern; and (c) developing the pattern using a supercritical fluid (SCF) as a developer. Also disclosed is a microstructure formed by the foregoing process. Also disclosed is a process for lithographically patterning a material on a substrate wherein after steps (a) and (b) above, the pattern is developed using a dry plasma etch. Also disclosed is a microstructure comprising a substrate; and a patterned dielectric layer, wherein the patterned dielectric layer comprises at least one two-dimensional feature having a dimensional tolerance more precise than 7%.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: September 20, 2005
    Assignees: Semiconductor Research Corporation, Cornell Research Foundation, Inc., Massachusetts Institute of Technology
    Inventors: Karen K. Gleason, Christopher Ober, Daniel Herr
  • Patent number: 6943414
    Abstract: According to one exemplary embodiment, an integrated circuit chip comprises a first interconnect metal layer. The integrated circuit chip further comprises a first intermediate dielectric layer situated over the first interconnect metal layer. The integrated circuit chip further comprises a metal resistor situated over the first intermetallic dielectric layer and below a second intermetallic dielectric layer. The integrated circuit chip further comprises a second interconnect metal layer over the second intermetallic dielectric layer. The integrated circuit chip further comprises a first intermediate via connected to first terminal of the metal resistor, where the first intermediate via is further connected to a first metal segment patterned in the second interconnect metal layer.
    Type: Grant
    Filed: February 9, 2002
    Date of Patent: September 13, 2005
    Assignee: Newport Fab, LLC
    Inventors: Arjun Kar Roy, David Howard, Q.Z. Liu
  • Patent number: 6943063
    Abstract: Described is a method where a seal ring is formed by stacking interconnected conductive layers along the perimeter of an integrated circuit (IC). The seal ring is formed continuously around the IC perimeter using a conductive chain with two distinct widths. Each section of distinct width forms a transmission having a distinct characteristic impedance. Unwanted signals may be coupled to the seal ring from signal bond pads or from internal circuitry. Because of the impedance mismatch between the different width sections of the seal ring transmission lines, only a portion of each signal is propagated through each seal ring discontinuity while the remainder is reflected. As the signal passes through multiple discontinuities in the seal ring, it is further attenuated, reducing propagation of unwanted signals. This is accomplished while preventing moisture intrusion into the IC.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: September 13, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chaochieh Tsai, Shyh-Chyi Wong
  • Patent number: 6940173
    Abstract: The present invention comprises an interconnect structure including a metal, interlayer dielectric and a ceramic diffusion barrier formed therebetween, where the ceramic diffusion barrier has a composition SivNwCxOyHz, where 0.1?v?0.9, 0?w?0.5, 0.01?0.5, 0.01?x?0.9,0?y?0.7,0.01?z?0.8 for v+w+x+y+z=1. The ceramic diffusion barrier acts as a diffusion barrier to metals, i.e., copper. The present invention also comprises a method for forming the inventive ceramic diffusion barrier including the steps depositing a polymeric preceramic having a composition SivNwCxOyHz, where 0.1<v<0.8, 0<w<0.8, 0.05<x<0.8, 0<y<0.3, 0.05<z<0.8 for v+w+x+y+z=1 and then converting the polymeric preceramic layer into a ceramic diffusion barrier by thermal methods.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: September 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Stephan A. Cohen, Stephen McConnell Gates, Jeffrey C. Hedrick, Elbert E. Huang, Dirk Pfeiffer
  • Patent number: 6940150
    Abstract: A method of manufacturing a semiconductor wafer device, includes the steps of: (a) forming lower wiring patterns over a semiconductor wafer, the lower wiring patterns being connected to semiconductor elements in a circuit area; (b) forming an interlevel insulating film with a planarized surface over the semiconductor wafer, covering the lower wiring patterns and having a planarized surface; and (c) forming via conductors connected to the lower wiring patterns and wiring patterns disposed on the via conductors in the circuit area and conductor patterns corresponding to the wiring patterns in a peripheral area other than the circuit area, by embedding the via conductors, wiring patterns and conductor patterns in the interlevel insulating film, the conductive patterns being electrically isolated. The method can form a desired wiring structure and can prevent an increase of the percentage of defective devices in an effective wafer area.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: September 6, 2005
    Assignee: Fujitsu Limited
    Inventor: Kenichi Watanabe
  • Patent number: 6927496
    Abstract: An embodiment of the present invention is a technique to distribute clock. At least a metal layer is formed to have a standing-wave structure to distribute a clock signal to receiver end points from a clock source such that the receiver end points are substantially electrically equivalent with respect to the clock source. The metal layer is embedded in dielectric layers made of thick film using a wafer-level thick film (WLTF) process.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: August 9, 2005
    Assignee: Intel Corporation
    Inventors: Henning Braunisch, Anna M. George, Steven N. Towle
  • Patent number: 6924545
    Abstract: A low-dielectric-constant interlayer insulating film, which is composed of at least one selected from the group consisting of: (i) a low-dielectric-constant borazine-silicon-based polymer substance obtainable by reaction of, in the presence of a platinum catalyst, B,B?,B?-triethynyl-N,N?,N?-trimethylborazine with a specific silicon compound having at least two hydrosilyl groups; and (ii) a low-dielectric-constant borazine-silicon-based polymer substance obtainable by reaction of, in the presence of a platinum catalyst, B,B?,B?-triethynyl-N,N?,N?-trimethylborazine with a specific cyclic silicon compound having at least two hydrosilyl groups. A semiconductor device, which has the low-dielectric-constant interlayer insulating film. A low-refractive-index material, which is composed of the polymer substance (i) and/or (ii).
    Type: Grant
    Filed: March 11, 2002
    Date of Patent: August 2, 2005
    Assignees: National Institute of Advanced Industrial Science, Technology Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yuko Uchimaru, Masami Inoue
  • Patent number: 6922328
    Abstract: The invention provides a semiconductor device and a method for manufacturing the same that are capable of contributing to a further chip downsizing in the cross-point FeRAM. More particularly, a first local wiring can be formed on a first interlayer insulating layer so as to connect a drain region and part of a gate electrode in a MOS transistor and a top layer wiring. A second local wiring can be formed on a second interlayer insulating layer so as to connect a source region in the MOS transistor and a lower electrode layer in a ferroelectric capacitor, and further to connect part of a gate electrode in the MOS transistor and the top layer wiring. The MOS transistor that makes up of a peripheral circuitry using only the first and second local wiring can be formed directly under a capacitor array forming region of cross-point FeRAM.
    Type: Grant
    Filed: May 20, 2004
    Date of Patent: July 26, 2005
    Assignee: Seiko Epson Corporation
    Inventor: Shinichi Fukada
  • Patent number: 6921978
    Abstract: The invention provides a method of forming a wiring layer in an integrated circuit structure that forms an organic insulator, patterns the insulator, deposits a liner on the insulator, and exposes the structure to a plasma to form pores in the insulator in regions next to the liner. The liner is formed thin enough to allow the plasma to pass through the liner and form the pores in the insulator. During the plasma processing, the plasma passes through the liner without affecting the liner. After the plasma processing, additional liner material may be deposited. After this, a conductor is deposited and excess of portions of the conductor are removed from the structure such that the conductor only remains within patterned portions of the insulator. This method produces an integrated circuit structure that has an organic insulator having patterned features, a liner lining the patterned features, and a conductor filling the patterned features.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: July 26, 2005
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Stephen E. Greco, Keith T. Kwietniak, Soon-Cheon Seo, Chih-Chao Yang, Yun-Yu Wang, Kwong H. Wong
  • Patent number: 6919638
    Abstract: An improved method, structure and process flow for reducing line-line capacitance using low dielectric constant (K) materials is provided. Embodiments in accordance with the present invention form structures for semiconductor devices having a single level of interconnection as well as semiconductor devices having multiple levels of interconnection. In embodiments of the present invention, an initial dielectric structure is formed having a first low-K material overlaid with a standard-K material. In subsequent processing, conductive interconnects are formed and the standard-K material replaced with a second low-K material. In some embodiments of the present invention, the first and second low-K materials are the same material, in some embodiments the first and second low-K materials are different materials.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: July 19, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Ying Huang, Er-Xuan Ping
  • Patent number: 6919636
    Abstract: Leakage, capacitance and reliability degradation of interconnects fabricated in low-k dielectric materials, particularly porous low-k dielectric material, due to penetration by a barrier metal and/or barrier metal precursor during damascene processing is prevented by depositing a conformal, heat stable dielectric sealant layer on sidewalls of the low-k dielectric material defining the damascene opening. Embodiments include forming a dual damascene opening in a porous, low-k organosilicate layer, the organosilicate having a pendant silanol functional group, depositing a siloxane polymer having a silylating functional group which bonds with the pendant silanol group to form the sealant layer, depositing a Ta and/or TaN barrier metal layer by CVD or ALD and filling the opening with Cu or a Cu alloy.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: July 19, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: E. Todd Ryan
  • Patent number: 6917110
    Abstract: A semiconductor device capable of inhibiting a conductive plug from increase of resistance or disconnection resulting from moisture discharged from a first insulator film while reducing the capacitance between adjacent first interconnection layers is obtained. This semiconductor device comprises a plurality of first interconnection layers formed on a semiconductor substrate at a prescribed interval, a first insulator film, formed to fill up the clearance between the plurality of first interconnection layers, having an opening reaching the first interconnection layers and a conductive plug charged in the opening of the first insulator film and formed to be in contact with the first interconnection layers. An impurity is selectively introduced into a first region of the first insulator film in the vicinity of contact surfaces between the first interconnection layers and the conductive plug, thereby selectively modifying the first region of the first insulator film.
    Type: Grant
    Filed: December 5, 2002
    Date of Patent: July 12, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Naoteru Matsubara, Hideki Mizuhara, Takashi Goto
  • Patent number: 6914328
    Abstract: An electronic component and a method of producing it, with at least one insulating layer is encompassed by the invention. The insulating layer includes a polymer including norbornene monomers. The polymer retains a double ring structure of the monomer C7H10 while there is breaking of a carbon double bond of the norbornene monomer. This breaking of the carbon double bond is created by a homopolymerization of the monomers to form crosslinked norbornene monomers with polar fluorocarbon bonds.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: July 5, 2005
    Assignee: Infineon Technologies AG
    Inventor: Alfred Haimerl
  • Patent number: 6914258
    Abstract: A field effect transistor in sandwiched configuration having organic semiconductor, comprising: a substrate (1), a gate electrode (2) formed on the surface of the substrate (1), a gate insulation layer (3) formed on the substrate (1) and the gate insulation layer (2), which is characterized in that, further comprising: an active layer (4) formed on the gate insulation layer (3) but leaving a part of the gate insulation layer (3) to be exposed, a source and drain electrodes (5) formed on a part of the gate insulation layer (3) and a part of the active layer (4), and an active layer (6) formed on the exposed part of the gate insulation layer (3), the active layer (4), the source electrode and the drain electrode (5).
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: July 5, 2005
    Assignee: Changchun Institute of Applied Chemistry Chinese Academy of Science
    Inventors: Donghang Yan, Jun Wang, Jian Zhang
  • Patent number: 6914335
    Abstract: An improved semiconductor device is described. That semiconductor device includes a first insulating layer, having a low-k dielectric constant that preferably comprises a carbon doped oxide, that is formed on a substrate. The device further includes a second layer, which is formed on the first layer, that has a relatively high dielectric constant and superior mechanical strength. The second layer is preferably under compressive stress. A third layer may be formed on the second layer, which has a relatively low dielectric constant and relatively poor mechanical strength, and a fourth layer may be formed on the third layer, which has a relatively high dielectric constant and superior mechanical strength.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: July 5, 2005
    Assignee: Intel Corporation
    Inventors: Ebrahim Andideh, Qing Ma, Quan Tran, Steve Towle
  • Patent number: 6909190
    Abstract: A dielectric structure and method for making a dielectric structure for dual-damascene applications over a substrate are provided. The method includes forming a barrier layer over the substrate, forming an inorganic dielectric layer over the barrier layer, and forming a low dielectric constant layer over the inorganic dielectric layer. In this preferred example, the method also includes forming a trench in the low dielectric constant layer using a first etch chemistry, and forming a via in the inorganic dielectric layer using a second etch chemistry, such that the via is within the trench. In another specific example, the inorganic dielectric layer can be an un-doped TEOS oxide or a fluorine doped oxide, and the low dielectric constant layer can be a carbon doped oxide (C-oxide) or other low K dielectrics.
    Type: Grant
    Filed: February 16, 2001
    Date of Patent: June 21, 2005
    Assignee: Lam Research Corporation
    Inventors: Jay E. Uglow, Nicolas J. Bright, Dave J. Hemker, Kenneth P. MacWilliams, Jeffrey C. Benzing, Timothy M. Archer
  • Patent number: 6908793
    Abstract: A process for fabricating a semiconductor device having, for example, a MISFET transistor, is provided which comprises the steps of (a) providing a partially fabricated semiconductor device comprising a substrate and a first and second polysilican layer insulatively spaced from the substrate by an insulating layer, the insulating layer having an opening therein which exposes the surface of the first polysilicon layer positioned below the second polysilicon layer and (b) exposing the partially fabricated semiconductor device to a noble gas halide to substantially remove the first polysilicon layer.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: June 21, 2005
    Assignee: The Johns Hopkins University
    Inventor: Mark N. Martin
  • Patent number: 6909187
    Abstract: A conductive wiring layer structure, applied to the conductive wiring layer structure under bonding pads of a die. The die has a substrate and can be partitioned into a central core circuit and a peripheral bonding pad area. The conductive wire layer structure has a plurality of trapezium conductive wiring regions and a plurality of inverse trapezium conductive wiring regions alternately arranged in the bonding pad area. Each of the equilateral and inverse trapezium conductive wiring regions has a plurality of dielectric layers and a plurality of conductive wiring layers alternately overlaying each other on the substrate. The conductive wiring layers of the trapezium conductive wiring region are wider as approaching the substrate, and become narrower as distant away from the substrate. The conductive wiring layers of the inverse trapezium conductive wiring region are narrower as approaching the substrate, and wider as distant away from the substrate.
    Type: Grant
    Filed: May 6, 2002
    Date of Patent: June 21, 2005
    Assignee: Via Technologies, Inc.
    Inventors: Yuangtsang Liaw, Hung-Yin Tsai, Kenny Chang
  • Patent number: 6903459
    Abstract: A high frequency (HF) semiconductor device includes a semiconductor substrate. An electroconductor layer is provided on the semiconductor substrate. A first insulator layer electrically insulates the electroconductor layer from the semiconductor substrate. N pieces of wires are provided on the semiconductor substrate, and N-phase signals (where N represents a positive integer greater than 2) are fed to the wires. A second insulator layer electrically insulates the wires from the electroconductor layer and the semiconductor substrate. N1 pieces of the wires are provided on one side of the electroconductor layer (where N1 represents 0 or a positive integer equaling or less than N). N2 pieces of the wires are provided on the other side of the electroconductor layer (where N2 represents 0 or a positive integer satisfying N1+N2=N).
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: June 7, 2005
    Assignee: Matsushita ELectric Industrial Co., Ltd.
    Inventor: Toshifumi Nakatani