Including Organic Insulating Material Between Metal Levels Patents (Class 257/759)
  • Publication number: 20080042288
    Abstract: When a conductive layer is formed, a first liquid composition containing a conductive material is applied on an outer side of a pattern that is desired to be formed (corresponding to a contour or an edge portion of a pattern), and a first conductive layer (insulating layer) having a frame-shape is formed. A second liquid composition containing a conductive material is applied so as to fill a space inside the first conductive layer having a frame-shape, whereby a second conductive layer is formed. The first conductive layer and the second conductive layer are formed so as to be in contact with each other, and the first conductive layer is formed so as to surround the second conductive layer. Therefore, the first conductive layer and the second conductive layer can be used as one continuous conductive layer.
    Type: Application
    Filed: June 26, 2007
    Publication date: February 21, 2008
    Inventors: Shunpei Yamazaki, Hironobu Shoji, Ikuko Kawamata
  • Patent number: 7329953
    Abstract: A method for fabricating an insulating layer having contact openings of varying depths for logic/DRAM circuits is achieved using a single mask and etch step. After forming stacked or trench capacitors, a planar insulating layer is formed. Contact openings are etched in the planar insulating layer to the substrate, and contact openings that extend over the edge of the stacked or trench capacitor top electrode, having an ARC, are etched using a novel mask design and a single etching step. This allows one to make contacts to the substrate without overetching while making low-resistance contacts to the sidewall of the capacitor top electrode. In the trench capacitor open areas are formed to facilitate making contact openings that extend over the top electrode. A series of contact openings that are skewed or elongated also improve the latitude in alignment tolerance.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: February 12, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuo-Chi Tu
  • Patent number: 7327022
    Abstract: A novel micro optical system as a platform technology for electrical and optical interconnections, thermal and mechanical assembly and integration of electronic, optoelectronic, passive and active components. This platform provides optical coupling and chip-to-chip interconnection by microwave electrical, optical guided and unguided waves, and power or bias electrical contacts or interfaces by a novel chip in flexible circuit, rigid or inflexible embodiments.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: February 5, 2008
    Assignee: General Electric Company
    Inventors: Glenn Scott Claydon, Matthew Christian Nielsen, Samhita Dasgupta, Robert John Filkins, Glenn Alan Forman
  • Patent number: 7319270
    Abstract: An interconnect includes an opening formed in a dielectric layer. A conductive barrier is deposited in the opening, over which a first conductive layer is deposited. A conductive oxide is deposited over the first conductive layer, and a second conductive layer, formed from the same material as the first conductive layer, is deposited over the conductive liner.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: January 15, 2008
    Assignee: Infineon Technologies AG
    Inventors: Jingyu Lian, Chenting Lin, Nicolas Nagel, Michael Wise
  • Patent number: 7307343
    Abstract: Low dielectric materials and films comprising same have been identified for improved performance when used as interlevel dielectrics in integrated circuits as well as methods for making same. These materials are characterized as having a dielectric constant (?) a dielectric constant of about 3.7 or less; a normalized wall elastic modulus (E0?), derived in part from the dielectric constant of the material, of about 15 GPa or greater; and a metal impurity level of about 500 ppm or less. Low dielectric materials are also disclosed having a dielectric constant of less than about 1.95 and a normalized wall elastic modulus (E0?), derived in part from the dielectric constant of the material, of greater than about 26 GPa.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: December 11, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Francis Kirner, James Edward MacDougall, Brian Keith Peterson, Scott Jeffrey Weigel, Thomas Alan Deis, Martin Devenney, C. Eric Ramberg, Konstantinos Chondroudis, Keith Cendak
  • Patent number: 7303988
    Abstract: Methods of forming a multi-level metal line of a semiconductor device are disclosed. One example method includes subsequently stacking first and second metal layers, wherein a conductive etching stopper layer is interposed at an interface between the first and second metal layers; forming first and second metal layer pattern by patterning the first metal layer, the etching stopper layer, and the second metal layer, wherein the first metal layer pattern is formed as a lower metal line; forming a connection contact in form of a plug by selectively etching the second metal layer pattern until the etching stopper layer is exposed; forming an interlayer insulating layer to cover the connection contact and the first metal layer pattern; and exposing an upper surface of the connection contact by planarizing the interlayer insulating layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Chul Shim
  • Patent number: 7304386
    Abstract: The present invention provides a semiconductor device having a multilayer wiring structure including a lower Cu buried-wiring layer, a SiC film, a SiOC film of 400 nm in thickness functioning as an interlayer insulating film, and an upper Cu buried-wiring layer electrically connected to the lower buried-wiring layer through contact plugs passing through the interlayer insulating film. The contact plugs and the upper Cu buried wiring layer are formed a single burying step of the dual damascene process. The SiOC film has a carbon content of about 12 atomic % and a relative dielectric constant of about 3.0. The upper Cu buried wiring layer is formed by burying a Cu film, through a barrier metal, in wiring grooves which are provided in the inter-wiring insulating film including a laminated film of an organic film, e.g., a PAE film of 200 nm in thickness, and a SiOC film of 150 nm in thickness.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: December 4, 2007
    Assignee: Sony Corporation
    Inventor: Ryuichi Kanamura
  • Patent number: 7304385
    Abstract: A reinforced bonding pad structure includes a bondable metal layer defined on a stress-buffering dielectric layer, and an intermediate metal layer damascened in a first inter-metal dielectric (IMD) layer disposed under the stress-buffering dielectric layer. The intermediate metal layer is situated directly under the bondable metal layer and is electrically connected to the bondable metal layer with a plurality of via plugs integrated with the bondable metal layer. A metal frame is damascened in a second IMD layer under the first IMD layer. The metal frame is situated directly under the intermediate metal layer for counteracting mechanical stress exerted on the bondable metal layer during bonding, when the thickness of said stress-buffering dielectric layer is greater than 2000 angstroms, the damascened metal frame may be omitted. An active circuit portion including active circuit components of the integrated circuit is situated directly under the metal frame.
    Type: Grant
    Filed: June 6, 2006
    Date of Patent: December 4, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Chih Wang, Bing-Chang Wu
  • Patent number: 7303940
    Abstract: A semiconductor component has at least one organic semiconductor layer. The component also includes at least one protective layer for at least partially covering the at least one organic semiconductor layer to protect against environmental influences. The at least one protective layer contains a proportion of an alkane with CnH2n+1 and n greater than or equal to 15 or consists entirely of an alkane of this type, or of a mixture of alkanes of this type. In one example, the protective layer is a paraffin wax. This creates a high resistance to moisture.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: December 4, 2007
    Assignee: Infineon Technologies AG
    Inventors: Florian Eder, Marcus Halik, Hagen Klauk, Günter Schmid, Ute Zschieschang
  • Patent number: 7304387
    Abstract: Provided are a semiconductor integrated device and a method for fabricating the same. The semiconductor integrated circuit includes a semiconductor substrate including a first dopant, a first conductive layer pattern formed on the semiconductor substrate, an interlayer dielectric layer formed on the first conductive layer pattern, a second conductive layer pattern formed on the interlayer dielectric layer, and a first vacuum ultraviolet (VUV) blocking layer which blocks a VUV ray radiated to the semiconductor substrate.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: December 4, 2007
    Assignee: Samsung Elecronics Co., Ltd.
    Inventors: Dong-ryul Chang, Tae-jung Lee, Sung-hoan Kim, Soo-cheol Lee
  • Patent number: 7297630
    Abstract: A method of fabricating a via and a trench is disclosed. A disclosed method comprises: forming a via hole and a trench in a interlayer dielectric layer on a semiconductor substrate where a predetermined device is formed; depositing a thin Hf layer on the substrate; performing a thermal treatment of the substrate to getter oxygen and forming a barrier layer; and filling copper into the via hole and the trench.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: November 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7294934
    Abstract: A low-k dielectric sacrificial material is formed within a microelectronic structure covered with a suitable porous or low density permeable material. At an appropriate time, the underlying sacrificial material is decomposed and diffused away through the overlying permeable material. As a result, at least one void is created, contributing to desirable dielectric characteristics.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: November 13, 2007
    Assignee: Intel Corporation
    Inventors: Grant M. Kloster, Xiarong Morrow, Jihperng Leu
  • Patent number: 7294933
    Abstract: A semiconductor wafer includes a redistribution layer which is electrically connected with a pad which is an end portion of an interconnect, a first resin layer which is formed over the redistribution layer, a second resin layer which is formed over the first resin layer and covers the side surface of the first resin layer, and an external terminal which is formed to be electrically connected with the redistribution layer in a manner to avoid the pad.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: November 13, 2007
    Assignee: Seiko Epson Corporation
    Inventor: Terunao Hanaoka
  • Patent number: 7294871
    Abstract: A method of closely interconnecting integrated circuits contained within a semiconductor wafer to electrical circuits surrounding the semiconductor wafer. Electrical interconnects are held to a minimum in length by making efficient use of polyimide or polymer as an inter-metal dielectric thus enabling the integration of very small integrated circuits within a larger circuit environment at a minimum cost in electrical circuit performance.
    Type: Grant
    Filed: September 19, 2005
    Date of Patent: November 13, 2007
    Inventor: Mou-Shiung Lin
  • Patent number: 7294870
    Abstract: A method of closely interconnecting integrated circuits contained within a semiconductor wafer to electrical circuits surrounding the semiconductor wafer. Electrical interconnects are held to a minimum in length by making efficient use of polyimide or polymer as an inter-metal dielectric thus enabling the integration of very small integrated circuits within a larger circuit environment at a minimum cost in electrical circuit performance.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: November 13, 2007
    Inventor: Mou-Shiung Lin
  • Patent number: 7294932
    Abstract: The semiconductor device 100 includes a multilayer wiring structure formed on the semiconductor substrate. The multilayer wiring structure includes at least a first inter layer dielectric film 120 in which interconnects 124 are formed, and at least a second inter layer dielectric film 122 in which vias 126 are formed. The multilayer wiring structure includes a circuit region 110 in which the interconnects 124 and the vias 126 are formed, a seal ring region 112 formed around the circuit region 110 and in which seal rings surrounding the circuit region 110 in order to seal the circuit region 110 are formed, and a peripheral region 114 formed around the seal ring region 112. The semiconductor device 100 further includes dummy vias 136 formed of a metal material, formed in the second interlayer dielectric film 122 at the peripheral region 114.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: November 13, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Masayuki Hiroi
  • Patent number: 7271093
    Abstract: A method of forming an interconnect for a semiconductor device using triple hard layers, comprises: forming a first hard layer serving as an etch stop layer on a metal interconnect-formed dielectric layer; forming a second hard layer on the first hard layer; forming a dielectric layer on the second hard layer; forming a third hard layer on the dielectric layer; forming a hole through the third and second hard layers, the dielectric layer, and the first hard layer; and filling the hole with metal to establish an interconnect. The second and third hard layers are each made of carbon-doped silicon oxide formed from a source gas and a redox gas, while controlling the carbon content in the second hard layer as a function of a flow rate of the redox gas.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: September 18, 2007
    Assignee: ASM Japan K.K.
    Inventors: Chou San Nelson Loke, Kanako Yoshioka, Kiyoshi Satoh
  • Patent number: 7271487
    Abstract: The present invention is to improve yield and reliability in a wiring step of a semiconductor device. When an Al wiring on an upper layer is connected through an connection pillar onto an Al wiring on a lower layer embedded in a groove formed on an interlayer insulation film, a growth suppression film having an opening whose width is wider than that of the Al wiring is formed on the interlayer insulation film and the Al wiring. In this condition, Al and the like are grown by a selective CVD method and the like. Accordingly, the connection pillar is formed on the Al wiring within the opening, in a self-matching manner with respect to the Al wiring.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: September 18, 2007
    Assignee: Sony Corporation
    Inventor: Junichi Aoyama
  • Patent number: 7271049
    Abstract: A CMOS structure in which the gate-to-drain/source capacitance is reduced as well as various methods of fabricating such a structure are provided. In accordance with the present invention, it has been discovered that the gate-to-drain/source capacitance can be significantly reduced by forming a CMOS structure in which a low-k dielectric material is self-aligned with the gate conductor. A reduction in capacitance between the gate conductor and the contact via ranging from about 30% to greater than 40% has been seen with the inventive structures. Moreover, the total outer-fringe capacitance (gate to outer diffusion+gate to contact via) is reduced between 10-18%. The inventive CMOS structure includes at least one gate region including a gate conductor located a top a surface of a semiconductor substrate; and a low-k dielectric material that is self-aligned to the gate conductor.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: September 18, 2007
    Assignee: International Business Machines Corporation
    Inventors: Oleg Gluschenkov, Jack A. Mandelman, Michael P. Belyansky, Bruce B. Doris
  • Patent number: 7268423
    Abstract: The present invention describes a rewiring plate for components with connection grids of between approx. 100 nm and 10 ?m, which rewiring plate includes a base body and passages with carbon nanotubes, the lower end of the passages opening out into contact connection surfaces, and the carbon nanotubes forming an electrically conductive connection from the contact connection surfaces to the front surface of the base body.
    Type: Grant
    Filed: December 16, 2004
    Date of Patent: September 11, 2007
    Assignee: Infineon Technologies AG
    Inventors: Gottfried Beer, Jochen Dangelmaier, Alfred Haimerl, Manfred Mengel, Klaus Mueller, Klaus Pressel
  • Patent number: 7265448
    Abstract: An integrated circuit according to the present invention includes first, second and third plane-like metal layers. A first transistor has a first control terminal and first and second terminals. The second terminal communicates with the first plane-like metal layer. The first terminal communicates with the second plane-like metal layer. A second transistor has a second control terminal and third and fourth terminals. The third terminal communicates with the first plane-like metal layer. The fourth terminal communicates with the third plane-like metal layer. A fourth plane-like metal layer includes first, second and third contact portions that are electrically isolated from each other and that are connected to the second plane-like metal layer, the first plane-like metal layer and the third plane-like metal layer, respectively.
    Type: Grant
    Filed: January 26, 2004
    Date of Patent: September 4, 2007
    Assignee: Marvell World Trade Ltd.
    Inventor: Sehat Sutardja
  • Patent number: 7256467
    Abstract: A micro-electromechanical device is formed on a substrate. The device has sliding, abrading or impacting surfaces. At least one of these surfaces is covered with an anti-stiction material. The anti-stiction material is provided from a slicon compound precursor (e.g. silane, silanol) or multiple silicon compound precursors. Preferably the precursor(s) is fluorinated—more preferably perfluorinated, and is deposited with a solvent as a low molecular weight oligomer or in monomeric form. Examples include silanes (fluorinated or not) with aromatic or polycyclic ring sturctures, and/or silanes (fluorinated or not) having alkenyl, alkynyl, epoxy or acrylate groups. Mixtures either or both of these groups with alkyl chain silanes (preferably fluorinated) are also contemplated.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: August 14, 2007
    Assignee: Silecs Oy
    Inventors: Jason S. Reid, Nungavram S. Viswanathan
  • Patent number: 7253439
    Abstract: The invention relates to a substrate for a display, a method of manufacturing the same, and a display having the same and provides a substrate for a display which can be manufactured through simple steps with high reliability, a method of manufacturing the same, and a display having the same. The substrate is configured to have a gate bus line, an OC layer formed on the gate bus line, a pixel electrode formed on the OC layer at each pixel region, and a gate terminal for electrically connecting an external circuit and the gate bus line.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: August 7, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Katsunori Misaki, Shiro Hirota
  • Patent number: 7253502
    Abstract: A circuitized substrate comprised of at least one dielectric material having an electrically conductive pattern thereon. At least part of the pattern is used as the first layer of an organic memory device which further includes at least a second dielectric layer over the pattern and a second pattern aligned with respect to the lower part for achieving several points of contact to thus form the device. The substrate is preferably combined with other dielectric-circuit layered assemblies to form a multilayered substrate on which can be positioned discrete electronic components (e.g., a logic chip) coupled to the internal memory device to work in combination therewith. An electrical assembly capable of using the substrate is also provided, as is an information handling system adapted for using one or more such electrical assemblies as part thereof.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: August 7, 2007
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Subahu D. Desai, How T. Lin, John M. Lauffer, Voya R. Markovich, David L. Thomas
  • Patent number: 7253520
    Abstract: A semiconductor device comprises a semiconductor chip which has a first surface, a pad which is formed directly on the first surface, an oxide film which is formed on the first surface, an insulating film which is formed on the oxide film and a part of the pad, a conductive film which is formed on the insulating film and the pad, a sealing material which covers a part of the conductive film and the insulating film and a bump which is formed over the conductive film, wherein the bump is exposed from a surface of the sealing material.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: August 7, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Hideaki Yoshida, Tae Yamane
  • Patent number: 7250370
    Abstract: A method of lowering the dielectric constant of an organosilicon low k dielectric layer while improving the hardness and thermal stability is provided. A deposited layer of carbon doped oxide, HSQ, or MSQ is cured and treated with a He plasma which improves hardness for a subsequent CMP step and lowers the dielectric constant. There is no loss of H2O or CH4 during the He treatment. The low k dielectric layer is then treated with a H2 plasma which converts some of the Si—O and Si—CH3 bonds near the surface to Si—H bonds, thereby further lowering the dielectric constant and increasing thermal stability that improves breakdown resistance. Moisture uptake is also reduced. The method is especially useful for interconnect schemes with deep sub-micron ground rules. Surprisingly, the k value obtained from two different plasma treatments is lower than when two He treatments or two H2 treatment are performed.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: July 31, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Chung-Chi Ko, Tien I. Bao, Yun-Chen Lu
  • Patent number: 7250679
    Abstract: The semiconductor device comprises a lower interconnection part 12 which is formed on a silicon substrate 10 and includes an inter-layer insulation film 36 formed of a low-k film 32 and a hydrophilic insulation film 34 formed on the low-k film 32, and an interconnection layer 44a, 44b buried in interconnection trenches 38a, 38b formed in the inter-layer insulation film 36 and having an interconnection pitch which is a first pitch; and an intermediate interconnection part 14 which is formed on the lower interconnection part 12 and includes an inter-layer insulation film 142 formed of low-k films 136, 140, an interconnection layer 152a, 152b buried in interconnection trenches 146a, 146b formed in the inter-layer insulation film 142 and having an interconnection pitch which is a second pitch larger than the first pitch, and an SiC film 154 formed directly on the low-k film 140 and the interconnection layer 152a, 152b.
    Type: Grant
    Filed: April 5, 2004
    Date of Patent: July 31, 2007
    Assignee: Fujitsu Limited
    Inventor: Satoshi Otsuka
  • Patent number: 7247947
    Abstract: A semiconductor device includes a first semiconductor construct provided on a base plate and having a semiconductor substrate and external connection electrodes. An insulating layer is provided on the base plate around the first semiconductor construct. An upper layer insulating film is provided on the first semiconductor construct and insulating layer. Upper layer wiring lines are provided on the upper layer insulating film so that the upper layer wiring line is electrically connected to the external connection electrode. A second semiconductor construct is joined to and installed on connection pad portions. All jointing portions of the second semiconductor construct for the connection pad portions of the upper layer wiring lines are disposed in a region corresponding to the first semiconductor construct.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: July 24, 2007
    Assignee: Casio Computer Co., Ltd.
    Inventors: Takeshi Wakabayashi, Ichiro Mihara
  • Patent number: 7244673
    Abstract: A structure for a multi-level interconnect inter-level dielectric layer (ILD), a method of manufacturing thereof, and a semiconductor device including the ILD layer. The ILD layer includes a first low-dielectric constant material sub-layer, and a second low-dielectric constant material sub-layer disposed over the first low-dielectric constant material sub-layer. The second low-dielectric constant material sub-layer has at least one different material property than the first low-dielectric constant material sub-layer. A third low-dielectric constant material sub-layer is disposed over the second low-dielectric constant material sub-layer, the third low-dielectric constant material sub-layer having at least one different material property than the second low-dielectric constant material sub-layer.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: July 17, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tai-Chun Huang, Chih-Hsiang Yao, Yih-Hsiung Lin, Tien-I Bao, Bi-Trong Chen, Yung-Cheng Lu
  • Patent number: 7242096
    Abstract: The present invention provides a semiconductor device having a multilayer wiring structure including a lower Cu buried-wiring layer, a SiC film, a SiOC film of 400 nm in thickness functioning as an interlayer insulating film, and an upper Cu buried-wiring layer electrically connected to the lower buried-wiring layer through contact plugs passing through the interlayer insulating film. The contact plugs and the upper Cu buried wiring layer are formed a single burying step of the dual damascene process. The SiOC film has a carbon content of about 12 atomic % and a relative dielectric constant of about 3.0. The upper Cu buried wiring layer is formed by burying a Cu film, through a barrier metal, in wiring grooves which are provided in the inter-wiring insulating film including a laminated film of an organic film, e.g., a PAE film of 200 nm in thickness, and a SiOC film of 150 nm in thickness.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: July 10, 2007
    Assignee: Sony Corporation
    Inventor: Ryuichi Kanamura
  • Patent number: 7239019
    Abstract: An inter-layer dielectric structure and method of making such structure are disclosed. A composite dielectric layer, initially comprising a porous matrix and a porogen, is formed. Subsequent to other processing treatments, the porogen is decomposed and removed from at least a portion of the porous matrix, leaving voids defined by the porous matrix in areas previously occupied by the porogen. The resultant structure has a desirably low k value as a result of the porosity and materials comprising the porous matrix and porogen. The composite dielectric layer may be used in concert with other dielectric layers of varying porosity, dimensions, and material properties to provide varied mechanical and electrical performance profiles.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: July 3, 2007
    Assignee: Intel Corporation
    Inventors: Jihperng Leu, Grant M. Kloster, David H. Gracias, Lee D. Rockford, Peter K. Moon, Chris E. Barns
  • Patent number: 7235866
    Abstract: A low dielectric film forming material contains siloxane resin and polycarbosilane dissolved in solvent. By using this solution, a low dielectric film is formed which contains siloxane resin and polycarbosilane bonded to the siloxane resin. Material of a low dielectric film is provided which is suitable for inter-level insulating film material. A semiconductor device is also provided which has a low dielectric constant film and high reliability.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: June 26, 2007
    Assignee: Fujitsu Limited
    Inventors: Yoshihiro Nakata, Shun-ichi Fukuyama, Katsumi Suzuki, Ei Yano, Tamotsu Owada, Iwao Sugiura
  • Patent number: 7235844
    Abstract: A high-reliability power composite integrated semiconductor device uses thick copper electrodes as current collecting electrodes of a power device portion to resist wire resistance needed for reducing ON-resistance. Furthermore, wire bonding connection of the copper electrodes is secured, and also the time-lapse degradation under high temperature which causes diffusion of copper and corrosion of copper is suppressed. Still furthermore, direct bonding connection can be established to current collecting electrodes in the power device portion, and also established to a bonding pad formed on the control circuit portion in the control circuit portion. A pad area at the device peripheral portion which has been hitherto needed is reduced, so that the area of the device is saved, and the manufacturing cost is reduced.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: June 26, 2007
    Assignee: Denso Corporation
    Inventor: Hiroyasu Itou
  • Patent number: 7233071
    Abstract: A low-k dielectric material for use in the manufacture of semiconductor devices, semiconductor structures using the low-k dielectric material, and methods of forming such dielectric materials and fabricating such structures. The low-k dielectric material comprises carbon nanostructures, like carbon nanotubes or carbon buckyballs, that are characterized by an insulating electronic state. The carbon nanostructures may be converted to the insulating electronic state either before or after a layer containing the carbon nanostructures is formed on a substrate. One approach for converting the carbon nanostructures to the insulating electronic state is fluorination.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: June 19, 2007
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Patent number: 7224064
    Abstract: A semiconductor device and manufacturing method, wherein the semiconductor device has a semiconductor substrate on which a plurality of elements constituting a logic type device have been formed; a first interlayer insulating film on the semiconductor substrate; a plurality of groove patterns provided in the first interlayer insulating film; lower interconnections formed by embedding electroconductive films, which are composed of an electroconductive material such as copper, in the groove patterns; and first porous portions that are selectively provided in the portions of the first interlayer insulating film having the lower interconnections formed therein, the portions being in contact with the lower interconnections. A semiconductor device having an interlayer insulating film that exhibits satisfactory mechanical strengths, thermal conductivity and low dielectric constant is thus provided.
    Type: Grant
    Filed: January 24, 2005
    Date of Patent: May 29, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Toru Yoshie
  • Patent number: 7211898
    Abstract: The present invention relates to a thin film transistor substrate and a metal wiring method thereof, more particularly to a thin film transistor substrate comprising self-assembled monolayers between the substrate and the metal wiring, and a metal wiring method thereof. Since a thin film transistor substrate of the present invention comprises three-dimensionally cross-linked self-assembled monolayers between the Si surface and the metal wiring, it has good adhesion ability and anti-diffusion ability.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: May 1, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Gab Lee, Chang-Oh Jeong, Myung-Mo Sung, Hee-Jung Yang, Beom-Seok Cho
  • Patent number: 7209376
    Abstract: A three-dimensional semiconductor memory device having the object of decreasing the interconnection capacitance that necessitates electrical charge and discharge during data transfer and thus decreasing power consumption is provided with: a plurality of memory cell array chips, in which sub-banks that are the divisions of bank memory are organized and arranged to correspond to input/output bits, are stacked on a first semiconductor chip; and interchip interconnections for connecting the memory cell arrays such that corresponding input/output bits of the sub-banks are the same, these interchip interconnections being provided in a number corresponding to the number of input/output bits and passing through the memory cell array chips in the direction of stacking.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: April 24, 2007
    Assignees: NEC Corporation, Elpida Memory, Inc.
    Inventors: Hideaki Saito, Yasuhiko Hagihara, Muneo Fukaishi, Masayuki Mizuno, Hiroaki Ikeda, Kayoko Shibata
  • Patent number: 7208837
    Abstract: A reinforced bonding pad structure includes a bondable metal layer defined on a stress-buffering dielectric layer, and an intermediate metal layer damascened in a first inter-metal dielectric (IMD) layer disposed under the stress-buffering dielectric layer. The intermediate metal layer is situated directly under the bondable metal layer and is electrically connected to the bondable metal layer with a plurality of via plugs integrated with the bondable metal layer. A metal frame is damascened in a second IMD layer under the first IMD layer. The metal frame is situated directly under the intermediate metal layer for counteracting mechanical stress exerted on the bondable metal layer during bonding, when the thickness of said stress-buffering dielectric layer is greater than 2000 angstroms, the damascened metal frame may be omitted. An active circuit portion including active circuit components of the integrated circuit is situated directly under the metal frame.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: April 24, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Chih Wang, Bing-Chang Wu
  • Patent number: 7205565
    Abstract: A thin film transistor and a method of manufacturing the same are disclosed. More specifically, there is provided a thin film transistor having a thin film transistor and a method of manufacturing the same wherein an inorganic layer and an organic planarization layer are sequentially formed on the surface of a substrate on source/drain electrode of a thin film transistor having a semiconductor layer, a gate, source/drain areas and the source/drain electrodes, and a blanket etching process is performed to the organic planarization layer to planarize the inorganic layer. After forming a photoresist pattern on the inorganic layer, an etching process is performed to form a hole coupling a pixel electrode with one of the source/drain electrodes. According to the manufacturing method, the hole may be formed using one mask, thereby simplifying a manufacturing process, and improving an adhesion with the pixel electrode by the inorganic layer formed above.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: April 17, 2007
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Choong-Youl Im, Tae-wook Kang, Chang-yong Jeong
  • Patent number: 7205663
    Abstract: Methods and solutions for forming self assembled organic monolayers that are covalently bound to metal interfaces are presented along with a device containing a self assembled organic monolayer. Embodiments of the present invention utilize self assembled thiolate monolayers to prevent the electromigration and surface diffusion of copper atoms while minimizing the resistance of the interconnect lines. Self assembled thiolate monolayers are used to cap the copper interconnect lines and chemically hold the copper atoms at the top of the lines in place, thus preventing surface diffusion. The use of self assembled thiolate monolayers minimizes the resistance of copper interconnect lines because only a single monolayer of approximately 10 ? and 20 ? in thickness is used.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: April 17, 2007
    Assignee: Intel Corporation
    Inventor: David H. Gracias
  • Patent number: 7205649
    Abstract: A ball grid array device includes a substrate having a first major surface and a second major surface. The first major surface includes leads for electrical connections. The second major surface is devoid of leads. The ball grid array device also includes a first land having a solder mask opening at the first major surface of the substrate, and a second, buried land near the first major surface of the substrate. A method for forming an electronic device includes forming an electronic circuit in a substrate, placing an input pad for an input to the electronic circuit on at least one major surface of the substrate, placing an output pad for an output from the electronic circuit on the at least one major surface of the substrate, and placing an electrically isolated pad near the at least one major surface of the substrate.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: April 17, 2007
    Assignee: Intel Corporation
    Inventors: Robert Nickerson, Hamid Ekhlassi
  • Patent number: 7202157
    Abstract: A method for forming a metallic interconnect in a semiconductor device is disclosed. An example method forms an IDL on a substrate including predetermined devices, forms a via hole in the IDL, depositing a first metal diffusion preventive layer and a metal layer to form a via plug on the IDL, and performs a planarization process using the first metal diffusion preventive layer using as an etching stop layer. In addition, the example method forms a metallic interconnect on the first metal diffusion preventive layer, deposits the other metal diffusion preventive layer on the metallic interconnect, and etches a predetermined part of first and second metal diffusion preventive layers and the metallic interconnect using a mask pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 10, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Ahn
  • Patent number: 7202565
    Abstract: A semiconductor device includes: multiple kinds of interlayer insulating films formed on a semiconductor substrate and having different elastic moduli, respectively; a metal pad arranged on said multiple kinds of interlayer insulating films; the interlayer insulating film of a low elastic modulus having the lowest elastic modulus and having an opening located under the metal pad, the interlayer insulating film of a not-low elastic modulus having the elastic modulus larger than the elastic modulus of the interlayer insulating film of the low elastic modulus, being layered in contact with the interlayer insulating film of the low elastic modulus, and continuously extending over the opening and a region surrounding the opening and a metal interconnection layer arranged under the metal pad, filling the opening in the interlayer insulating film of the low elastic modulus, and being in contact with the interlayer insulating film of the not-low elastic modulus.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: April 10, 2007
    Assignees: Renesas Technology Corp., Matsushita Electric Industrial Co., Ltd.
    Inventors: Masazumi Matsuura, Hiroshi Horibe, Susumu Matsumoto, Tsuyoshi Hamatani
  • Patent number: 7202564
    Abstract: A porous low k or ultra low k dielectric film comprising atoms of Si, C, O and H (hereinafter “SiCOH”) in a covalently bonded tri-dimensional network structure having a dielectric constant of less than about 3.0, a higher degree of crystalline bonding interactions, more carbon as methyl termination groups and fewer methylene, —CH2— crosslinking groups than prior art SiCOH dielectrics is provided. The SiCOH dielectric is characterized as having a FTIR spectrum comprising a peak area for CH3+CH2 stretching of less than about 1.40, a peak area for SiH stretching of less than about 0.20, a peak area for SiCH3 bonding of greater than about 2.0, and a peak area for Si—O—Si bonding of greater than about 60%, and a porosity of greater than about 20%.
    Type: Grant
    Filed: February 16, 2005
    Date of Patent: April 10, 2007
    Assignee: International Business Machines Corporation
    Inventors: Son Nguyen, Sarah L. Lane, Jia Lee, Kensaku Ida, Darryl D. Restaino, Takeshi Nogami
  • Patent number: 7199415
    Abstract: Container structures for use in integrated circuits and methods of their manufacture. The container structures have a dielectric cap on the top of a conductive container to reduce the risk of container-to-container shorting by insulating against bridging of conductive debris across the tops of adjacent container structures. The container structures are adapted for use in memory cells and apparatus incorporating such memory cells, as well as other integrated circuits.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: April 3, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Alan R. Reinberg
  • Patent number: 7187081
    Abstract: Interconnect structures having buried etch stop layers with low dielectric constants and methods relating to the generation of such buried etch stop layers are described herein. The inventive interconnect structure comprises a buried etch stop layer comprised of a polymeric material having a composition SivNwCxOyHz, where 0.05?v?0.8, 0?w?0.9, 0.05?x?0.8, 0?y?0.3, 0.05?z?0.8 for v+w+x+y+z=1; a via level interlayer dielectric that is directly below said buried etch stop layer; a line level interlayer dielectric that is directly above said buried etch stop layer; and conducting metal features that traverse through said via level dielectric, said line level dielectric, and said buried etch stop layer.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: March 6, 2007
    Assignee: International Business Machines Corporation
    Inventors: Elbert E. Huang, Kaushik A. Kumar, Kelly Malone, Dirk Pfeiffer, Muthumanickam Sankarapandian, Christy S. Tyberg
  • Patent number: 7183200
    Abstract: A semiconductor device has a multi-layer interconnection structure with a first interlayer insulation film and a second interlayer insulation film that is formed on the first interlayer insulation film and has a hardness and an elastic modulus larger than those of the first interlayer insulation film, and is fabricated by a step of forming a resist film on the second interlayer insulation film via an antireflective film, a step of exposing to light and developing the resist film to form a resist pattern, and a step of patterning the antireflective film and the multi-layer interconnection structure using the resist pattern as a mask, wherein a film with no stress or for storing compressive stress is used as the antireflective film.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: February 27, 2007
    Assignee: Fujitsu Limited
    Inventor: Kengo Inoue
  • Patent number: 7180120
    Abstract: Semiconductor devices having a dual stacked MIM capacitor and methods of fabricating the same are disclosed. The semiconductor device includes a dual stacked MIM capacitor formed on the semiconductor substrate. The dual stacked MIM capacitor includes a lower plate positioned, an upper plate electrically connected to the lower plate and positioned above the lower plate, and an intermediate plate interposed between the lower plate and the upper plate. An upper interconnection line is positioned at the same level as the upper plate. The upper interconnection line is electrically connected to the intermediate plate. As a result, the upper plate may be formed by a damascene process.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seok-Jun Won
  • Patent number: 7176572
    Abstract: A semiconductor wafer includes a redistribution layer which is electrically connected with a pad which is an end portion of an interconnect, a first resin layer which is formed over the redistribution layer, a second resin layer which is formed over the first resin layer and covers the side surface of the first resin layer, and an external terminal which is formed to be electrically connected with the redistribution layer in a manner to avoid the pad.
    Type: Grant
    Filed: October 7, 2003
    Date of Patent: February 13, 2007
    Assignee: Seiko Epson Corporation
    Inventor: Terunao Hanaoka
  • Patent number: RE39932
    Abstract: A plurality of metal wires are formed on an underlying interlayer insulating film. Areas among the metal wires are filled with a buried insulating film of a silicon oxide film with a small dielectric constant (i.e., a first dielectric film), and thus, a parasitic capacitance of the metal wires can be decreased. On the buried insulating film, a passivation film of a silicon nitride film with high moisture absorption resistance (i.e., a second dielectric film) is formed, and thus, a coverage defect can be avoided. A bonding pad is buried in an opening formed in a part of a surface protecting film including the buried insulating film and the passivation film, so as not to expose the buried insulating film within the opening. Thus, moisture absorption through the opening can be prevented.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: December 4, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toshiki Yabu, Mizuki Segawa