At Least One Layer Containing Vanadium, Hafnium, Niobium, Zirconium, Or Tantalum Patents (Class 257/761)
  • Patent number: 7297630
    Abstract: A method of fabricating a via and a trench is disclosed. A disclosed method comprises: forming a via hole and a trench in a interlayer dielectric layer on a semiconductor substrate where a predetermined device is formed; depositing a thin Hf layer on the substrate; performing a thermal treatment of the substrate to getter oxygen and forming a barrier layer; and filling copper into the via hole and the trench.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: November 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Patent number: 7279732
    Abstract: A method of enhanced atomic layer deposition is described. In an embodiment, the enhancement is the use of plasma. Plasma begins prior to flowing a second precursor into the chamber. The second precursor reacts with a prior precursor to deposit a layer on the substrate. In an embodiment, the layer includes at least one element from each of the first and second precursors. In an embodiment, the layer is TaN. In an embodiment, the precursors are TaF5 and NH3. In an embodiment, the plasma begins during the purge gas flow between the pulse of first precursor and the pulse of second precursor. In an embodiment, the enhancement is thermal energy. In an embodiment, the thermal energy is greater than generally accepted for ALD (>300 degrees Celsius). The enhancement assists the reaction of the precursors to deposit a layer on a substrate.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: October 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Shuang Meng, Garo J. Derderian, Gurtej Singh Sandhu
  • Patent number: 7276801
    Abstract: Methods, techniques, and structures relating to die packaging. In one exemplary implementation, a die package interconnect structure includes a semiconductor substrate and a first conducting layer in contact with the semiconductor substrate. The first conducting layer may include a base layer metal. The base layer metal may include Cu. The exemplary implementation may also include a diffusion barrier in contact with the first conducting layer and a wetting layer on top of the diffusion barrier. A bump layer may reside on top of the wetting layer, in which the bump layer may include Sn, and Sn may be electroplated. The diffusion barrier may be electroless and may be adapted to prevent Cu and Sn from diffusing through the diffusion barrier. Furthermore, the diffusion barrier may be further adapted to suppress a whisker-type formation in the bump layer.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: October 2, 2007
    Assignee: Intel Corporation
    Inventors: Valery M. Dubin, Sridhar Balakrishnan, Mark Bohr
  • Patent number: 7262473
    Abstract: A method for forming a contact capable of tolerating an O2 environment up to several hundred degrees Celsius for several hours is disclosed. To slow down the metal oxide front of the metal layer at the metal-polysilicon interface, the metal layer is surrounded by one or more oxygen sink spacers and layers. These oxygen sink spacers and layers are oxidized before the metal layer at the bottom of the plug is oxidized. Accordingly, the conductive connection between the polysilicon and any device built on top of the barrier layer is preserved.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: August 28, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 7256500
    Abstract: A first insulating film is formed on a semiconductor substrate. A second insulating film made of insulating metal nitride is formed on the first insulating film. A recess is formed through the second insulating film and reaches a position deeper than an upper surface of the first insulating film. A conductive member is buried in the recess. A semiconductor device is provided whose interlayer insulating film can be worked easily even if it is made to have a low dielectric constant.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: August 14, 2007
    Assignee: Fujitsu Limited
    Inventors: Noriyuki Shimizu, Yoshiyuki Nakao, Hiroki Kondo, Takashi Suzuki, Nobuyuki Nishikawa
  • Patent number: 7253522
    Abstract: A precision RF passive component including: a silicon substrate; a first dielectric layer deposited above the silicon substrate; a first metal layer formed above the first dielectric layer; a second dielectric layer formed above the first metal layer; and a second metal layer formed above the second dielectric layer. In one embodiment a passivation layer is added above the second metal layer. In an exemplary embodiment the first metal layer includes a first adhesion layer, a metal sub-layer, and a second adhesion layer; and the second dielectric layer includes a first diffusion barrier layer, a dielectric sub-layer second diffusion barrier. In an exemplary embodiment, the metal sub-layer includes copper. In another exemplary embodiment the dielectric sub-layer includes SiO2 or Si3N4 between diffusion barrier layers including SiN.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: August 7, 2007
    Assignee: AVX Israel, Ltd.
    Inventors: Elad Irron, Eitan Avni
  • Patent number: 7253501
    Abstract: A semiconductor device having a nonconductive cap layer comprising a first metal element. The nonconductive cap layer comprises a first metal nitride, a first metal oxide, or a first metal oxynitride over conductive lines and an insulating material between the conductive lines. An interface region may be formed over the top surface of the conductive lines, the interface region including the metal element of the cap layer. The cap layer prevents the conductive material in the conductive lines from migrating or diffusing into adjacent subsequently formed insulating material layers. The cap layer may also function as an etch stop layer.
    Type: Grant
    Filed: August 3, 2004
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Ming Lee, Jing-Cheng Lin, Shing-Chyang Pan, Ching-Hua Hsieh, Chao-Hsien Peng, Cheng-Lin Huang, Li-Lin Su, Shau-Lin Shue
  • Patent number: 7253519
    Abstract: A chip structure comprising a chip, a redistribution layer, a second passivation layer and at least a bump is provided. The chip has a first passivation layer and at least a bonding pad. The first passivation layer exposes the bonding pad and has at least a recess. The redistribution layer is formed over the first passivation layer and electrically connected to the bonding pad. Furthermore, the redistribution layer also extends from the bonding pad to the recess. The second passivation layer is formed over the first passivation layer and the redistribution layer. The second passivation layer also has an opening that exposes the redistribution layer above the recess. The bump passes through the opening and connects electrically with the redistribution layer above the recess.
    Type: Grant
    Filed: June 9, 2004
    Date of Patent: August 7, 2007
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: Min-Lung Huang, Chi-Long Tsai, Chao-Fu Weng, Ching-Huei Su
  • Patent number: 7250679
    Abstract: The semiconductor device comprises a lower interconnection part 12 which is formed on a silicon substrate 10 and includes an inter-layer insulation film 36 formed of a low-k film 32 and a hydrophilic insulation film 34 formed on the low-k film 32, and an interconnection layer 44a, 44b buried in interconnection trenches 38a, 38b formed in the inter-layer insulation film 36 and having an interconnection pitch which is a first pitch; and an intermediate interconnection part 14 which is formed on the lower interconnection part 12 and includes an inter-layer insulation film 142 formed of low-k films 136, 140, an interconnection layer 152a, 152b buried in interconnection trenches 146a, 146b formed in the inter-layer insulation film 142 and having an interconnection pitch which is a second pitch larger than the first pitch, and an SiC film 154 formed directly on the low-k film 140 and the interconnection layer 152a, 152b.
    Type: Grant
    Filed: April 5, 2004
    Date of Patent: July 31, 2007
    Assignee: Fujitsu Limited
    Inventor: Satoshi Otsuka
  • Publication number: 20070145593
    Abstract: A semiconductor device and a method for manufacturing the same is provided. The semiconductor device includes a semiconductor substrate having a conductive layer; an interlayer dielectric layer formed on the semiconductor substrate, the interlayer dielectric layer having a hole with a taper angled at the hole's upper portion; a diffusion barrier layer formed on the hole and the interlayer dielectric layer; and a seed layer formed on the diffusion barrier layer.
    Type: Application
    Filed: December 14, 2006
    Publication date: June 28, 2007
    Inventor: In Cheol Baek
  • Patent number: 7230337
    Abstract: The present invention reduces the effective dielectric constant of the interlayer insulating film while inhibiting the decrease of the reliability of the semiconductor device, which otherwise is caused by a moisture absorption. A copper interconnect comprising a Cu film 209 is formed in multilayer films comprising a L-Ox™ film 203 and a SiO2 film 204. Since the L-Ox™ film 203 comprises ladder-shaped siloxane hydride structure, the film thickness and the film characteristics are stable, and thus changes in the film quality is scarcely occurred during the manufacturing process.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: June 12, 2007
    Assignee: NEC Electronics Corporation
    Inventors: Tatsuya Usami, Takashi Ishigami, Tetsuya Kurokawa, Noriaki Oda
  • Patent number: 7193327
    Abstract: An opening in a dielectric layer having a unique barrier layer structure is provided. In an embodiment, the opening is a via and a trench. The barrier layer, which may comprise one or more barrier layers, is formed such that the ratio of the thickness of the barrier layers along a sidewall approximately midway between the bottom of the trench and the top of the dielectric layer to the thickness of the barrier layers along the bottom of the trench is greater than about 0.55. In another embodiment, the ratio of the thickness of the barrier layers along a sidewall approximately midway between the bottom of the trench and the top of the dielectric layer to the thickness of the barrier layers along the bottom of the via is greater than about 1.0. An underlying conductive layer may be recessed.
    Type: Grant
    Filed: January 25, 2005
    Date of Patent: March 20, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Shing-Chyang Pan, Shau-Lin Shue, Ching-Hua Hsieh, Cheng-Lin Huang, Hsien-Ming Lee, Jing-Cheng Lin
  • Patent number: 7187085
    Abstract: A method (and structure) of forming an interconnect on a semiconductor substrate, includes forming a relatively narrow first structure in a dielectric formed on a semiconductor substrate, forming a relatively wider second structure in the dielectric formed on the semiconductor substrate, forming a liner in the first and second structures such that the first structure is substantially filled and the second structure is substantially unfilled, and forming a metallization over the liner to completely fill the second structure.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: March 6, 2007
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Larry A. Nesbit
  • Patent number: 7183601
    Abstract: Disclosed in a semiconductor device comprising a semiconductor substrate, and a ferroelectric layer provided above the semiconductor substrate and sandwiched between a lower electrode and an upper electrode, the lower electrode comprising a strontium ruthenate film having a thickness of 2 nm or less.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: February 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Keisuke Nakazawa
  • Patent number: 7164207
    Abstract: A wiring structure for semiconductor device has a wiring layer that includes copper as main component and a crystal grain promotion layer that promotes enlargement in a crystal grain of the wiring layer.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: January 16, 2007
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Masahiro Shimada, Miki Moriyama, Masanori Murakami, Naoki Shibata
  • Patent number: 7145241
    Abstract: A multilayer interconnection structure includes a first interconnection layer having a copper interconnection pattern and a second interconnection layer having an aluminum interconnection layer and formed on the first interconnection layer via an intervening interlayer insulation film, wherein a tungsten plug is formed in a via-hole formed in the interlayer insulation film so as to connect the first interconnection layer and the second interconnection layer electrically. The via-hole has a depth/diameter ratio of 1.25 or more, and there is formed a conductive nitride film between the outer wall of the tungsten plug and an inner wall of the via-hole such that the entirety of the conductive nitride film is formed of a conductive nitride.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: December 5, 2006
    Assignee: Fujitsu Limited
    Inventors: Toshio Takayama, Kuniyuki Narukawa, Hiroshi Mizutani
  • Patent number: 7145245
    Abstract: The present invention discloses a method including providing a substrate; forming a dielectric over the substrate, the dielectric having a k value of about 2.5 or lower, the dielectric having a Young's modulus of elasticity of about 15 GigaPascals or higher; forming an opening in the dielectric; and forming a conductor in the opening. The present invention further discloses a structure including a substrate; a dielectric located over the substrate, the dielectric having a k value of 2.5 or lower, the dielectric having a Young's modulus of elasticity of about 15 GigaPascals or higher; an opening located in the dielectric; and a conductor located in the opening.
    Type: Grant
    Filed: September 2, 2005
    Date of Patent: December 5, 2006
    Assignee: Intel Corporation
    Inventors: Grant Kloster, Lee Rockford, Jihperng Leu
  • Patent number: 7135775
    Abstract: A method, apparatus, system, and machine-readable medium for an interconnect structure in a semiconductor device and its method of formation is disclosed. Embodiments comprise a carbon-doped and silicon-doped interconnect having a concentration of silicon to avoid to forming a copper silicide layer between an interconnect and a passivation layer. Some embodiments provide unexpected results in electromigration reliability in regards to activation energy and/or mean time to failure.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: November 14, 2006
    Assignee: Intel Corporation
    Inventors: Stephen T. Chambers, Valery M. Dubin, Andrew W. Ott, Christine S. Hau-Riege
  • Patent number: 7135707
    Abstract: An insulated-gate field effect transistor with the structure capable of weakening an electric field near or around the drain thereof. To this end, the transistor of the top gate type has its gate electrode which is formed of two kinds of metal layers (4, 5) capable of being anodized while carefully selecting materials and anodization process conditions in such a way as to let anodization of the lowermost metal layer (4) be faster in progress than that of its overlying metal layer (5). This ensures that an intensity-decreased electric field is applied to a portion (20) underlying an anodized part of the lower metal layer not only through a gate insulation film (3) but also through an anodized oxide (17). A weak inversion layer as created by this electric field may cause the electric field to decrease in intensity near or around the drain.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: November 14, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hisashi Ohtani
  • Patent number: 7129534
    Abstract: A method of forming a magneto-resistive memory element includes forming a groove in a layer of insulating material. A liner is formed conformably within the groove and the groove is filled with copper and then planarized. The electrically conductive material is provided an upper surface that is recessed relative to the upper surface of the layer of insulating material. A cap, which can be conductive (e.g., Ta) or resistive (e.g., TiAIN), is disposed over the electrically conductive material and within the groove. A surface of the cap that faces away from the electrically conductive material, is formed with an elevation substantially equal to that of the edge of the liner, or the cap can extend over the liner edge. At least one layer of magneto-resistive material is disposed over a portion of the cap. Advantageously, the cap can protect the copper line from harmful etch processes required for etching a MRAM stack, while keeping the structure planar after CMP.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: October 31, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Mark E. Tuttle
  • Patent number: 7126220
    Abstract: This invention provides a solution to increase the yield strength and fatigue strength of miniaturized springs, which can be fabricated in arrays with ultra-small pitches. It also discloses a solution to minimize adhesion of the contact pad materials to the spring tips upon repeated contacts without affecting the reliability of the miniaturized springs. In addition, the invention also presents a method to fabricate the springs that allow passage of relatively higher current without significantly degrading their lifetime.
    Type: Grant
    Filed: March 17, 2003
    Date of Patent: October 24, 2006
    Assignee: NanoNexus, Inc.
    Inventors: Syamal Kumar Lahiri, Frank Swiatowiec, Fu Chiung Chong, Sammy Mok, Erh-Kong Chieh, Roman L. Milter, Joseph M. Haemer, Chang-Ming Lin, Yi-Hsing Chen, David Thanh Doan
  • Patent number: 7122901
    Abstract: In a semiconductor device, a plurality of wiring layers each patterned in a required shape are laminated over both surfaces of an insulating base material with insulating layers interposed therebetween, and electrically connected to one another through via holes piercing the insulating layers in the direction of thickness. A chip is mounted in an embedded manner in one insulating layer over at least one surface of the insulating base material. Electrodes of the chip are connected to one wiring layer. Through holes are formed in portions of the insulating base material, the portions corresponding to a mount area for the chip. Via holes are formed on outwardly extending portions (pad portions) of the wiring layer connected to a conductor layer formed at least on the inner walls of the through holes.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: October 17, 2006
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Masahiro Sunohara, Keisuke Ueda
  • Patent number: 7119443
    Abstract: The semiconductor device is formed according to the following steps. A TiN film 71 and a W film 72 are deposited on a silicon oxide film 64 including the inside of a via-hole 66 by the CVD method and thereafter, the W film 72 and TiN film 71 on the silicon oxide film 64 are etched back to leave only the inside of the via-hole 66 and form a plug 73. Then, a TiN film 74, Al-alloy film 75, and Ti film 76 are deposited on the silicon oxide film 64 including the surface of the plug 73 by the sputtering method and thereafter, the Ti film 76, Al-alloy film 75, and TiN film 74 are patterned to form second-layer wirings 77 and 78.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: October 10, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Yoshitaka Nakamura, Tsuyoshi Tamaru, Naoki Fukuda, Hidekazu Goto, Isamu Asano, Hideo Aoki, Keizo Kawakita, Satoru Yamada, Katsuhiko Tanaka, Hiroshi Sakuma, Masayoshi Hirasawa
  • Patent number: 7091568
    Abstract: A mixture of materials can be used within a layer of an electronic device to improve electrical and physical properties of the layer. In one set of embodiments, the layer can be a dielectric layer, such as a gate dielectric layer or a capacitor dielectric layer. The dielectric layer can include O, and two or more dissimilar metallic elements. In one specific embodiment, two dissimilar elements may have the same single oxidation state and be miscible within each other. In one embodiment, the dielectric layer can include an alloy of (HfO2)(1-x)(ZrO2)x, wherein x is between 0 and 1. Each of Hf and Zr has a single oxidation state of +4. Other combinations are possible. Improved electrical and physical properties can include better control over grain size, distribution of grain sizes, thickness of the layer across a substrate, improved carrier mobility, threshold voltage stability, or any combination thereof.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: August 15, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Rama I. Hegde, Alexander A. Demkov, Philip J. Tobin, Dina H. Triyoso
  • Patent number: 7091609
    Abstract: Certain embodiments of the present invention relate to a semiconductor device that has a pad section having an excellent coherency with an interlayer dielectric layer, and a method for manufacturing the same. A semiconductor device 1000 has a pad layer 30A formed over an interlayer dielectric layer 20. The pad section 30A includes a wetting layer 32 and a metal wiring layer 37. The metal wiring layer 37 includes an alloy layer 34 that contacts the wetting layer 32. The alloy layer 34 is formed from a material composing the wetting layer 32 and a material composing the metal wiring layer 37.
    Type: Grant
    Filed: March 8, 2004
    Date of Patent: August 15, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Kazuki Matsumoto, Yukio Morozumi, Michio Asahina
  • Patent number: 7087997
    Abstract: Tungsten studs of a size comparable to vias are provided to integrate and interface between copper and aluminum metallization layers in an integrated circuit and/or package therefor by lining a via opening, preferably with layers of tantalum nitride and PVD tungsten as a barrier against the corrosive effects of tungsten fluoride on copper. The reduced size of the tungsten studs relative to known interface structures allows wiring and connection pads to be formed in a single aluminum layer, improving performance and reducing process time and cost.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Lloyd G. Burrell, Edward E. Cooney, III, Jeffrey P. Gambino, John E. Heidenreich, III, Hyun Koo Lee, Mark D. Levy, Baozhen Li, Stephen E. Luce, Thomas L. McDevitt, Anthony K. Stamper, Kwong Hon Wong, Sally J. Yankee
  • Patent number: 7078810
    Abstract: A semiconductor device and fabrication thereof. An opening is formed in a first dielectric layer, exposing an active region of the transistor, and an atomic layer deposited (ALD) TaN barrier is conformably formed in the opening, at a thickness less than 20 ?. A copper layer is formed over the atomic layer deposited (ALD) TaN barrier to fill the opening.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: July 18, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Gin Jie Wang, Chao-Hsien Peng, Chii-Ming Wu, Chih-Wei Chang, Shau-Lin Shue
  • Patent number: 7071564
    Abstract: The electromigration and stress migration of Cu interconnects is significantly reduced by forming a composite capping layer comprising a layer of ?-Ta on the upper surface of the inlaid Cu, a layer of tantalum nitride on the ?-Ta layer and a layer of ?-Ta on the tantalum nitride layer. Embodiments include forming a recess in an upper surface of Cu inlaid in a dielectric layer, depositing a layer of ?-Ta at a thickness of 25 ? to 40 ?, depositing a layer of tantalum nitride at a thickness of 20 ? to 100 ? and then depositing a layer of ?-Ta at a thickness of 200 ? to 500 ?. Embodiments further include forming an overlying dielectric layer, forming an opening therein, e.g., a via opening or a dual damascene opening, lining the opening with ?-Ta, and filling the opening with Cu in electrical contact with the underlying inlaid Cu.
    Type: Grant
    Filed: March 4, 2004
    Date of Patent: July 4, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Darrell M. Erb, Steven Avanzino, Christy Mei-Chu Woo
  • Patent number: 7071562
    Abstract: Semiconductor devices comprising interconnect with improved adhesion of barrier layers to dielectric layers are formed by laser thermal annealing exposed surfaces of a dielectric layer in an atmosphere of NH3 and N2, and subsequently depositing Ta to form a composite barrier layer. Embodiments include forming a dual damascene opening in an interlayer dielectric comprising F-containing silicon oxide, such as F-containing silicon oxide derived from F-TEOS, laser thermal annealing the exposed silicon oxide surface in NH3 and N2, depositing Ta and then filling the opening with Cu. Laser thermal annealing in NH3 and N2 depletes the exposed silicon oxide surface of F while forming an N2-rich surface region. Deposited Ta reacts with the N2 in the N2-rich surface region to form a composite barrier layer comprising a graded layer of tantalum nitride and a layer of ?-Ta thereon.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: July 4, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Dawn Hopper
  • Patent number: 7067917
    Abstract: The present invention is directed to a structure of a gradient barrier layer. The gradient barrier with a composite structure of metal/metal salt of different composition/metal such as Ta/TaxN1?x/TaN/TaxN1?x/Ta (tantalum/tantalumx nitride1?x/tantalum nitride/tantalumx nitride1?x/tantalum) is proposed to replace the conventional barrier for copper metallization. The gradient barrier can be formed in a chemical vapor deposition (CVD) process or a multi-target physical vapor deposition (PVD) process. For CVD process, using the characteristics of well-controlled reaction gas injection, the ratio of tantalum (Ta) and nitrogen (N) can be modulated gradually to form the gradient barrier. For the multi-target PVD process, the gradient barrier is formed by depositing multi-layers of different composition TaxN1?x films. After subsequent thermal cycle processes such as metal alloy, the inter-layer diffusion occurs and a more smooth distribution of Ta and N is achieved for the gradient barrier.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: June 27, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Fu-Tai Liou, Cheng-Yu Hung, Tri-Rung Yew
  • Patent number: 7061113
    Abstract: A semiconductor apparatus has a substrate to which is attached a thin semiconductor film including at least one semiconductor device. A first interconnecting line formed on the thin semiconductor film makes electrical contact with the semiconductor device. A second interconnecting line extends from the thin semiconductor film to the substrate, electrically coupling the first interconnecting line to an interconnection pattern on the substrate. At the point where the first and second interconnecting lines meet, one of the two interconnecting lines is widened to provide an increased positioning margin, thereby relaxing the requirement for precise positioning of the thin semiconductor film. The thin semiconductor film may include an array of light-emitting diodes and the substrate may include driving circuitry for driving them.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: June 13, 2006
    Assignee: Oki Data Corporation
    Inventors: Hiroyuki Fujiwara, Mitsuhiko Ogihara
  • Patent number: 7057286
    Abstract: A method for manufacturing a multi-level interconnection structure in a semiconductor device includes the steps of consecutively forming an anti-diffusion film and an interlevel dielectric film on a first level Cu layer, forming first through third hard mask films on the interlevel dielectric film, etching the interlevel dielectric film by using the first hard mask to form first through-holes, etching the first and second hard mask films and a top portion of the interlevel dielectric film by using the third hard mask film to form trenches, and etching the anti-diffusion film to form through-holes. The first hard mask film protects the interlevel dielectric film during removal of the second and third hard mask films.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: June 6, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 7053455
    Abstract: Disclosed is a semiconductor device comprising a substrate, an insulating film formed above the substrate and containing a metal, Si, N and O, the insulating film containing metal-N bonds larger than the sum total of metal-metal bonds and metal-Si bonds, and an electrode formed above the insulating film.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: May 30, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiro Koike, Masato Koyama, Tsunehiro Ino, Yuuichi Kamimuta, Akira Takashima, Masamichi Suzuki, Akira Nishiyama
  • Patent number: 7049679
    Abstract: A solid electrolytic capacitor is obtained in which a sintered metal serves as an anode and a silver layer serves as a cathode. A surface of sintered metal made of tantalum or the like and having an open porosity ratio of more than 75% is oxidized so that an oxide film made of tantalum pentoxide or the like is deposited thereon. Cavities of the metal are filled with an electrically conductive material. Then, the metal is wound around a lead wire and made into a desired shape and size. The silver layer is formed on this porous metal body. Because a specific surface area of the sintered metal is large, a large capacity is obtained.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: May 23, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Atsuo Nagai, Hideki Kuramitsu, Emiko Igaki, Koichi Kojima
  • Patent number: 7042093
    Abstract: A first insulating film is formed on a semiconductor substrate. A second insulating film made of insulating metal nitride is formed on the first insulating film. A recess is formed through the second insulating film and reaches a position deeper than an upper surface of the first insulating film. A conductive member is buried in the recess. A semiconductor device is provided whose interlayer insulating film can be worked easily even if it is made to have a low dielectric constant.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: May 9, 2006
    Assignee: Fujitsu Limited
    Inventors: Noriyoshi Shimizu, Yoshiyuki Nakao, Hiroki Kondo, Takashi Suzuki, Nobuyuki Nishikawa
  • Patent number: 7030450
    Abstract: A hafnium oxide precursor and a method for forming a hafnium oxide layer using the precursor are provided. The hafnium oxide precursor contains a nitrogen compound bound to HfCl4.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: April 18, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-hyun Lee, Yo-sep Min, Young-jin Cho
  • Patent number: 7026721
    Abstract: This invention relates to a new improved method and structure in the fabricating of aluminum metal pads. The formation special aluminum bond pad metal structures are described which improve adhesion between the tantalum nitride pad barrier layer and the underlying copper pad metallurgy by a special interlocking bond pad structure. It is the object of the present invention to provide a process wherein a special grid of interlocking via structures is placed in between the underlying copper pad metal and the top tantalum nitride pad barrier layer providing improved adhesion to the aluminum pad metal stack structure. This unique contact bond pad structure provides for thermal stress relief, improved wire bond adhesion to the aluminum pad, and prevents peeling during wire bond adhesion tests.
    Type: Grant
    Filed: January 8, 2001
    Date of Patent: April 11, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Sheng-Hsiung Chen
  • Patent number: 7015096
    Abstract: In one embodiment, bimetallic oxide compositions for gate dielectrics that include two or more of the elements Ca, Sr, Ba, Hf, and Zr are described.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: March 21, 2006
    Assignee: LSI Logic Corporation
    Inventors: Vladimir Zubkov, Sey-Shing Sun
  • Patent number: 7002252
    Abstract: A wiring structure for effectively reducing wiring capacitance, and a method of forming the wiring structure is disclosed. An underlying film having a dielectric constant lower than that of silicon oxide is formed on at least side surfaces of the wires of a wiring layer and a low dielectric constant film having an even lower dielectric constant is formed between the wires. Further, the surfaces of the underlying film are positively sloped. Because the low dielectric constants of the underlying film and the low dielectric constant film, wiring capacitance is effectively reduced. Further, the positively sloped surfaces facilitate the filling of narrow spaces between the wires by the low dielectric constant film.
    Type: Grant
    Filed: June 19, 2002
    Date of Patent: February 21, 2006
    Assignee: Kawasaki Microelectronics, Inc.
    Inventor: Hiroshi Yamamoto
  • Patent number: 6995475
    Abstract: A method of forming wire bonds in (I/C) chips comprising: providing an I/C chip having a conductive pad for a wire bond with at least one layer of dielectric material overlying the pad; forming an opening through the dielectric material exposing a portion of said pad. Forming at least a first conductive layer on the exposed surface of the pad and on the surface of the opening. Forming a seed layer on the first conductive layer; applying a photoresist over the seed layer; exposing and developing the photoresist revealing the surface of the seed layer surrounding the opening; removing the exposed seed layer; removing the photoresist material in the opening revealing the seed layer. Plating at least one second layer of conductive material on the seed layer in the opening, and removing the first conductive layer on the dielectric layer around the opening. The invention also includes the resulting structure.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: February 7, 2006
    Assignee: International Business Machines Corporation
    Inventors: Julie C. Biggs, Tien-Jen Cheng, David E. Eichstadt, Lisa A. Fanti, Jonathan H. Griffith, Randolph F. Knarr, Sarah H. Knickerbocker, Kevin S. Petrarca, Roger A. Quon, Wolfgang Sauter, Kamalesh K. Srivastava, Richard P. Volant
  • Patent number: 6984891
    Abstract: A typical integrated-circuit fabrication requires interconnecting millions of microscopic transistors and resistors with aluminum wires. Yet, aluminum wires have greater electrical resistance and are less reliable than copper wires. Unfortunately, current techniques for making copper wires are time-consuming and inefficient. Accordingly, the invention provides a method of making wires or interconnects from copper or other metals. One embodiment entails forming a first diffusion barrier inside a trench using ionized-magnetron sputtering for better conformal coating of the trench, and a second diffusion barrier outside the trench using jet-vapor deposition. The jet-vapor deposition has an acute angle of incidence which prevents deposition within the trench and thus eliminates conventional etching steps that would otherwise be required to leave the trench free of this material. After formation of the two diffusion barriers, the trench is filled with metal and annealed.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: January 10, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6975033
    Abstract: A semiconductor device includes a semiconductor substrate on which an element is formed, a low dielectric constant insulation film formed over the semiconductor substrate and having a relative dielectric constant of 3 or lower, a plug and a wiring layer buried in the low dielectric constant insulation film, and a high Young's modulus insulation film having a Young's modulus of 15 GPa or higher and formed in contact with a side of the plug between the low dielectric constant insulation film and the plug.
    Type: Grant
    Filed: September 20, 2002
    Date of Patent: December 13, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Sachiyo Ito, Masahiko Hasunuma, Takashi Kawanoue
  • Patent number: 6963139
    Abstract: A barrier layer is formed on an insulating or conducting film provided on a semiconductor substrate, and an electrode or an interconnect made from a conducting film is formed on the barrier layer. The barrier layer includes a tantalum film having the ?-crystal structure.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: November 8, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takenobu Kishida, Shinya Tada, Atsushi Ikeda, Takeshi Harada, Kohei Sugihara
  • Patent number: 6960836
    Abstract: Disclosed herein is a reinforcing system and method for reinforcing a contact pad of an integrated circuit. Specifically exemplified is a system and method that comprises a reinforcing structure interposed between a top contact pad layer and an underlying metal layer.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: November 1, 2005
    Assignee: Agere Systems, Inc.
    Inventors: Mark Adam Bachman, Daniel Patrick Chesire, Sailesh Mansinh Merchant, John William Osenbach, Kurt George Steiner
  • Patent number: 6955986
    Abstract: A process produces a layer of material which functions as a copper barrier layer, adhesion layer and a copper seed layer in a device of an integrated circuit, particularly in damascene or dual damascene structures. The method includes a step of depositing a diffusion barrier layer over a dielectric, a step of depositing a layer of graded metal alloy of two or more metals, and a step of depositing a copper seed layer, which step is essentially a part of the step of depositing the alloy layer.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: October 18, 2005
    Assignee: ASM International N.V.
    Inventor: Wei-Min Li
  • Patent number: 6940150
    Abstract: A method of manufacturing a semiconductor wafer device, includes the steps of: (a) forming lower wiring patterns over a semiconductor wafer, the lower wiring patterns being connected to semiconductor elements in a circuit area; (b) forming an interlevel insulating film with a planarized surface over the semiconductor wafer, covering the lower wiring patterns and having a planarized surface; and (c) forming via conductors connected to the lower wiring patterns and wiring patterns disposed on the via conductors in the circuit area and conductor patterns corresponding to the wiring patterns in a peripheral area other than the circuit area, by embedding the via conductors, wiring patterns and conductor patterns in the interlevel insulating film, the conductive patterns being electrically isolated. The method can form a desired wiring structure and can prevent an increase of the percentage of defective devices in an effective wafer area.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: September 6, 2005
    Assignee: Fujitsu Limited
    Inventor: Kenichi Watanabe
  • Patent number: 6936906
    Abstract: The present invention generally relates to filling of a feature by depositing a barrier layer, depositing a seed layer over the barrier layer, and depositing a conductive layer over the seed layer. In one embodiment, the seed layer comprises a copper alloy seed layer deposited over the barrier layer. For example, the copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof. In another embodiment, the seed layer comprises a copper allloy seed layer deposited over the barrier layer and a second seed layer deposited over the copper alloy seed layer. The copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof The second seed layer may comprise a metal, such as undoped copper. In still another embodiment, the seed layer comprises a first seed layer and a second seed layer.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: August 30, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Jick Yu, Mei Chang
  • Patent number: 6919636
    Abstract: Leakage, capacitance and reliability degradation of interconnects fabricated in low-k dielectric materials, particularly porous low-k dielectric material, due to penetration by a barrier metal and/or barrier metal precursor during damascene processing is prevented by depositing a conformal, heat stable dielectric sealant layer on sidewalls of the low-k dielectric material defining the damascene opening. Embodiments include forming a dual damascene opening in a porous, low-k organosilicate layer, the organosilicate having a pendant silanol functional group, depositing a siloxane polymer having a silylating functional group which bonds with the pendant silanol group to form the sealant layer, depositing a Ta and/or TaN barrier metal layer by CVD or ALD and filling the opening with Cu or a Cu alloy.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: July 19, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: E. Todd Ryan
  • Patent number: 6909191
    Abstract: There is provided a semiconductor device comprising a Cu film provided above a main surface of a semiconductor substrate and used as a wiring, an intermediate layer formed at least on the Cu film, and an Al film formed on the intermediate layer and used as a pad, wherein the intermediate layer comprises a refractory metal nitride film and a refractory metal film formed on the refractory metal nitride film.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: June 21, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaaki Hatano, Takamasa Usui
  • Patent number: 6900542
    Abstract: A semiconductor device comprises of an insulating film (14) formed over a semiconductor substrate (1), a trench (14b) and a hole (14a) formed in the insulating film (14), a first underlying layer (16) formed in at least one of the trench (14b) and the hole (14a) and made of conductive material to prevent diffusion of copper, a main conductive layer (19) formed in at least one of the trench (14b) and the hole (14a) on the first underlying layer (19) and made of copper or copper alloy, and a second underlying layer (17) formed between the main conductive layer (19) and the first underlying layer (16) and having a metal element that is solid-solved in the main conductive layer at an interface between the second underlying layer (17) and the main conductive layer (19), and formed on the first underlying layer (16) by a CVD method.
    Type: Grant
    Filed: May 2, 2003
    Date of Patent: May 31, 2005
    Assignee: Fujitsu Limited
    Inventors: Hisaya Sakai, Noriyoshi Shimizu, Nobuyuki Ohtsuka