Combining Dry And Wet Cleaning Steps (epo) Patents (Class 257/E21.229)
  • Patent number: 7754614
    Abstract: A nonvolatile memory device and method for fabricating the same are provided. The method for fabricating the nonvolatile memory device comprises providing a substrate. A tunnel insulating layer and a first conductive layer are formed in the substrate. A trench is formed through the first conductive layer and the tunnel insulating layer, wherein a portion of the substrate is exposed from the trench. A first insulating layer is formed in the trench. A second insulating layer is formed on sidewalls of the first insulating layer. A third insulating layer is conformably formed in the trench, covering the first insulating layer on a bottom portion of the trench and the second insulating layer on the sidewalls of the trench, wherein thickness of the third insulating layer on the sidewalls is thinner than that on the bottom of the trench. A control gate is formed on the third insulating layer in the trench.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: July 13, 2010
    Assignee: Nanya Technologies Corporation
    Inventors: Ming-Cheng Chang, Chih-Hsiung Hung, Mao-Ying Wang, Wei-Hui Hsu
  • Patent number: 7732336
    Abstract: A method of manufacturing an integrated circuit (IC) utilizes a shallow trench isolation (STI) technique. The shallow trench isolation technique is used in strained silicon (SMOS) process. The strained material is formed after the trench is formed. The process can be utilized on a compound semiconductor layer above a box layer.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: June 8, 2010
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Qi Xiang, James N. Pan, Jung-Suk Goo
  • Publication number: 20100136788
    Abstract: Methods for cleaning semiconductor wafers following chemical mechanical polishing are provided. An exemplary method exposes a wafer to a thermal treatment in an oxidizing environment followed by a thermal treatment in a reducing environment. The thermal treatment in the oxidizing environment both removes residues and oxidizes exposed copper surfaces to form a cupric oxide layer. The thermal treatment in the reducing environment then reduces the cupric oxide to elemental copper. This leaves the exposed copper clean and in condition for further processing, such as electroless plating.
    Type: Application
    Filed: February 3, 2010
    Publication date: June 3, 2010
    Applicant: Lam Research Corporation
    Inventors: Zhonghui Alex Wang, Tiruchirapalli Arunagiri, Fritz C. Redeker, Yezdi Dordi, John Boyd, Mikhail Korolik, Arthur M. Howald, William Thie, Praveen Nalla
  • Patent number: 7727902
    Abstract: There is provided an underlayer coating that causes no intermixing with photoresist layer, can be formed by a spin-coating method, and can be used as a hard mask in lithography process of manufacture of semiconductor device. Concretely, it is an underlayer coating forming composition used in manufacture of semiconductor device including metal nitride particles having an average particle diameter of 1 to 1000 nm, and an organic solvent. The metal nitride particles contain at least one element selected from the group consisting of titanium, silicon, tantalum, tungsten, cerium, germanium, hafnium, and gallium.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: June 1, 2010
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Satoshi Takei, Yasushi Sakaida
  • Patent number: 7723150
    Abstract: A method for fabricating an image sensor, which includes the following steps, is provided. A semiconductor substrate including a sensor array, a pad and a passivation layer is provided, and the passivation layer covers the sensor array and the pad. An opening, which comprises tapered sidewalls not perpendicular to a bared surface of the pad, is formed in the semiconductor substrate to expose the pad. An under layer is formed on the semiconductor substrate, and covers the pad and the passivation layer. A color filter array is formed on the under layer and over the corresponding sensor array. A planar layer is formed on the color filter array. A portion of the under layer is removed to expose the pad. A plurality of U-lenses is formed on the planar layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: May 25, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Cheng-Hung Yu
  • Patent number: 7723221
    Abstract: A stacked film patterning method is provided which is capable of reliably removing residual substances remaining after etching of a metal film, improving etching uniformity of a silicon film, and preventing an occurrence of etching residues. A micro-crystal film and a chromium film are sequentially formed on an insulating film serving as a front-end film and the chromium film is etched to be patterned by using a resist as a mask. Next, a micro-crystal silicon film on which the residual substances exist is exposed to plasma of a mixed gas including chlorine gas and oxygen gas to selectively etch the residual substances on a surface of the micro-crystal silicon film. After that, the micro-crystal silicon film is dry etched.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: May 25, 2010
    Assignees: NEC Corporation, NEC LCD Technologies, Ltd.
    Inventor: Kenichi Hayashi
  • Patent number: 7713843
    Abstract: In the method of fabricating an optical semiconductor device, a semiconductor layer is formed on an InP region, and includes semiconductor films. A first etching mask is formed on the semiconductor layer. The semiconductor layer is etched through the first etching mask to form a semiconductor mesa and a first marking mesa, each mesa includes an active layer and an InP cladding layer, the InP cladding layer being provided on the active layer. The active layer is made of semiconductor material different from InP. An InP burying region is grown through the first etching mask on a side of the semiconductor mesa and a side of the first marking mesa to bury the semiconductor mesa and the first marking mesa. A second etching mask is formed on the InP burying region after removing the first etching mask, and has an opening located above the first marking mesa. InP in the InP burying region and the first marking mesa is etched through the second etching mask to form a second marking mesa.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: May 11, 2010
    Assignee: Sumitomo Electric Industries Ltd.
    Inventor: Masakazu Narita
  • Patent number: 7700389
    Abstract: A method of improving the flatness of a microdisplay surface is disclosed. A reflective mirror layer and a raised layer are formed in order on substrate. The raised layer may comprise a buffer layer and a stop layer, and pixel electrode areas are defined therefrom and gaps are consequently formed among the pixel electrode areas. A dielectric layer is deposited on the pixel electrode areas and fills the gaps. A dielectric layer is partially removed such that the portion on the raised layer is completely removed and the portion filling the gaps are partially removed, thereby the remaining dielectric layer in the gaps has a height not lower than the top of the mirror layer. Thereafter, the raised layer is entirely or partially removed. A transparent conductive layer may be further combined onto the semiconductor substrate and a liquid crystal filling process is performed to form an LCoS display panel.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: April 20, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Yi-Tyng Wu
  • Patent number: 7691696
    Abstract: Hemi-spherical structure and method for fabricating the same. A device includes discrete pillar regions on a substrate, and a pattern layer on the discrete support structures and the substrate. The pattern layer has hemi-spherical film regions on the discrete support structures respectively, and planarized portions on the substrate between the hemi-spherical film regions. Each of the hemi-spherical film regions in a position corresponding to each of the support structures serves as a hemi-spherical structure.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: April 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Chyi Liu, Chi-Hsin Lo
  • Patent number: 7687322
    Abstract: Techniques for fabricating metal devices, such as vertical light-emitting diode (VLED) devices, power devices, laser diodes, and vertical cavity surface emitting laser devices, are provided. Devices produced accordingly may benefit from greater yields and enhanced performance over conventional metal devices, such as higher brightness of the light-emitting diode and increased thermal conductivity. Moreover, the invention discloses techniques in the fabrication arts that are applicable to GaN-based electronic devices in cases where there is a high heat dissipation rate of the metal devices that have an original non- (or low) thermally conductive and/or non- (or low) electrically conductive carrier substrate that has been removed.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: March 30, 2010
    Assignee: SemiLEDs Optoelectronics Co., Ltd.
    Inventors: Trung Tri Doan, Chuong Anh Tran, Chen-Fu Chu, Chao-Chen Cheng, Jiunn-Yi Chu, Wen-Huang Liu, Hao-Chun Cheng, Feng-Hsu Fan, Jui-Kang Yen
  • Patent number: 7682956
    Abstract: The present invention relates, in general, to a method for three-dimensional (3D) microfabrication of complex, high aspect ratio structures with arbitrary surface height profiles in metallic materials, and to devices fabricated in accordance with this process. The method builds upon anisotropic deep etching methods for metallic materials previously developed by the inventors by enabling simplified realization of complex, non-prismatic structural geometries composed of multiple height levels and sloping and/or non-planar surface profiles. The utility of this approach is demonstrated in the fabrication of a sloping electrode structure intended for application in bulk micromachined titanium micromirror devices, however such a method could find use in the fabrication of any number of other microactuator, microsensor, microtransducer, or microstructure devices as well.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: March 23, 2010
    Assignee: The Regents of the University of California
    Inventors: Masaru P. Rao, Marco F. Aimi, Noel C. MacDonald
  • Patent number: 7683409
    Abstract: An image sensor including a second line formed at an upper part of a photodiode region as a transparent electrode for passing light. The second line is composed of a polymeric material having transparency and conductivity.
    Type: Grant
    Filed: April 20, 2008
    Date of Patent: March 23, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Ji-Ho Hong
  • Patent number: 7682991
    Abstract: A method of manufacturing a silicon carbide semiconductor device includes forming a trench for a MOS gate in an SiC substrate by dry etching. Thereafter, the substrate with the trench is heat treated. The heat treatment includes heating the substrate in an Ar gas atmosphere or in a mixed gas atmosphere containing SiH4 and Ar at a temperature between 1600° C. and 1800° C., and thereafter in a hydrogen gas atmosphere at a temperature between 1400° C. and 1500° C. The present manufacturing method smoothens the trench inner surface and rounds the corners in the trench to prevent the electric field from localizing thereto.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: March 23, 2010
    Assignee: Fuji Electric Device Technology Co., Ltd.
    Inventors: Yasuyuki Kawada, Takeshi Tawara, Tae Tawara
  • Patent number: 7678634
    Abstract: A first dielectric layer is formed over a PFET gate and an NFET gate, and lithographically patterned to expose a PFET area, while covering an NFET area. Exposed PFET active area is etched and refilled with a SiGe alloy, which applies a uniaxial compressive stress to a PFET channel. A second dielectric layer is formed over the PFET gate and the NFET gate, and lithographically patterned to expose the NFET area, while covering the PFET area. Exposed NFET active area is etched and refilled with a silicon-carbon alloy, which applies a uniaxial tensile stress to an NFET channel. Dopants may be introduced into the SiGe and silicon-carbon regions by in-situ doping or by ion implantation.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: March 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Qiqing Ouyang, Kathryn T. Schonenberg
  • Patent number: 7678658
    Abstract: A method of forming an improved static random access memory (SRAM) interconnect structure is provided. The method includes forming a sidewall spacer around a periphery of a patterned poly-silicon layer formed over a silicon layer of a semiconductor substrate; removing the patterned poly-silicon layer for exposing a portion of a cap layer; etching the exposed portion of the cap layer for revealing a portion of the silicon layer; etching the portion of the silicon layer, in which a portion of said silicon layer connects at least a portion of pull-down device of said SRAM to at least a portion of pull-up device of said SRAM; forming a gate oxide; and forming a gate conductor over the gate oxide. An interconnect structure is also provided.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: March 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Robert C. Wong
  • Patent number: 7674718
    Abstract: A method for forming spacers of different sizes includes the following steps. First a substrate is provided, which has a first element, a second element, a first material layer and a second material layer thereon. A first dry etching is performed to remove part of the second material layer to form a first spacer by the first element and to form a second side wall by the second element, so that the first material layer between the first spacer and the second side wall is exposed to become a damaged first material layer. A trimming procedure is performed to trim the damaged first material layer. A mask is used to cover the first element, the first spacer and part of the first material layer then a wet etching is performed to remove the second side wall.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 9, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chia-Ho Liu, Chieh-Yu Tsai, Wei-Chen Lin, Chia-Ying Lin
  • Patent number: 7670954
    Abstract: Provided is a method of manufacturing a semiconductor device including at least two processes. Under an atmosphere comprising hydrogen and oxygen, a sacrificial oxide film is formed on a silicon substrate that is provided with at least one nitride region. Then, the sacrificial oxide film and the nitride region are removed from the silicon substrate.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: March 2, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Takuo Ohashi
  • Patent number: 7670924
    Abstract: Methods are provided for forming a structure that includes an air gap. In one embodiment, a method is provided for forming a damascene structure comprises depositing a porous low dielectric constant layer by a method including reacting an organosilicon compound and a porogen-providing precursor, depositing a porogen-containing material, and removing at least a portion of the porogen-containing material, depositing an organic layer on the porous low dielectric constant layer by reacting the porogen-providing precursor, forming a feature definition in the organic layer and the porous low dielectric constant layer, filing the feature definition with a conductive material therein, depositing a mask layer on the organic layer and the conductive material disposed in the feature definition, forming apertures in the mask layer to expose the organic layer, removing a portion or all of the organic layer through the apertures, and forming an air gap adjacent the conductive material.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: March 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Alexandros T. Demos, Li-Qun Xia, Bok Hoen Kim, Derek R. Witty, Hichem M'Saad
  • Patent number: 7671367
    Abstract: A liquid crystal display device and a fabricating method thereof for simplifying a process and improving an aperture ratio are disclosed, including forming a first mask pattern group including a gate line, a gate electrode and a common line; forming a second mask pattern group including a semiconductor pattern and a source/drain pattern having a data line, a source electrode and a drain electrode overlapped thereon on the gate insulating film using a second mask; and forming a third mask pattern group including and a pixel electrode making an interface with the protective film in the pixel hole to be connected to the drain electrode, thereby forming a horizontal electric field with the common electrode, using a third mask.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: March 2, 2010
    Assignee: LG Display Co., Ltd.
    Inventor: Byung Chul Ahn
  • Patent number: 7659201
    Abstract: In order to provide an anticorrosive technique for metal wirings formed by a chemical mechanical polishing (CMP) method, a process for manufacturing a semiconductor integrated circuit device according to the invention comprises the steps of: forming a metal layer of Cu (or a Cu alloy containing Cu as a main component) over the major face of a wafer and then planarizing the metal layer by a chemical mechanical polishing (CMP) method to form metal wirings; anticorroding the planarized major face of the wafer to form a hydrophobic protective film over the surfaces of the metal wirings; immersing the anticorroded major face of the wafer or keeping the same in a wet state so that it may not become dry; and post-cleaning the major face, kept in the wet state, of the wafer.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: February 9, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Naofumi Ohashi, Junji Noguchi, Toshinori Imai, Hizuru Yamaguchi, Nobuo Owada, Kenji Hinode, Yoshio Homma, Seiichi Kondo
  • Patent number: 7655527
    Abstract: Shown are embodiments where a process of manufacturing a semiconductor element on a semiconductor wafer is shown. The semiconductor element is obtained by dividing the function-providing semiconductor wafer into functional elements. The function-providing semiconductor wafer is, at its first main surface, mechanically coupled to a handling wafer. The thinning is carried out in the coupled state of the function-providing semiconductor wafer, and the function-providing semiconductor wafer is divided in its state coupled to the handling wafer. During or after connecting the semiconductor element to a lead frame the mechanical coupling between the semiconductor element and the corresponding part of the handling wafer is destroyed. Other embodiments are also shown.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: February 2, 2010
    Assignee: Infineon Technologies Austria AG
    Inventor: Hans-Hinnerk Steckhan
  • Patent number: 7651955
    Abstract: Embodiments of the invention generally provide a method for depositing films or layers using a UV source during a photoexcitation process. The films are deposited on a substrate and usually contain a material, such as silicon (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), silicon oxide, silicon nitride, silicon oxynitride, or other silicon-containing materials. The photoexcitation process may expose the substrate and/or gases to an energy beam or flux prior to, during, or subsequent a deposition process. Therefore, the photoexcitation process may be used to pre-treat or post-treat the substrate or material, to deposit the silicon-containing material, and to enhance chamber cleaning processes.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Joseph M. Ranish, Kaushal K. Singh
  • Patent number: 7651950
    Abstract: In a method for forming a fine pattern of a semiconductor device, forming a spacer for double patterning of a cell region is performed separate from forming a mask pattern that defines a dummy pattern for a pad of a peripheral circuit region.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: January 26, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Keun Do Ban
  • Patent number: 7648867
    Abstract: A method for fabricating a semiconductor device includes: forming a dummy gate that defines a region in which a gate electrode should be formed on a semiconductor substrate; forming a surface film on the semiconductor substrate by directional sputtering vertical to a surface of the semiconductor substrate, the directional sputtering being one of collimate sputtering, long throw sputtering and ion beam sputtering; removing the surface film formed along a sidewall of the dummy gate; removing the dummy gate; and forming the gate electrode in the region from which the dummy gate on the semiconductor substrate has been removed.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: January 19, 2010
    Assignee: Eudyna Devices Inc.
    Inventors: Masataka Watanabe, Hiroshi Yano
  • Patent number: 7646038
    Abstract: An efficient method of fabricating a high-quality heteroepitaxial microstructure having a smooth surface. The method includes detaching a layer from a base structure to provide a carrier substrate having a detached surface, and then forming a heteroepitaxial microstructure on the detached surface of the carrier substrate by depositing an epitaxial layer on the detached surface of a carrier substrate. Also included is a heteroepitaxial microstructure fabricated from such method.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: January 12, 2010
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Bruce Faure, Fabrice Letertre, Bruno Ghyselen
  • Patent number: 7642191
    Abstract: A method of forming a semiconductor structure is provided. The method includes providing a substrate and forming a mask layer on the substrate, Next, dielectric isolations are formed in the mask layer and the substrate, wherein the dielectric isolations extend above the substrate. Then, the mask layer is removed to expose a portion of the substrate, and a dielectric layer is formed on the exposed portion of the substrate. Subsequently, a first conductive layer is formed on the dielectric layer, and a portion of the dielectric isolation is removed, wherein a top surface of the remaining dielectric isolation is lower than a top surface of the first conductive layer. Moreover, a conformal layer is formed over the substrate, and a second conductive layer is formed on the conformal layer.
    Type: Grant
    Filed: January 24, 2008
    Date of Patent: January 5, 2010
    Assignee: Nanya Technology Corp.
    Inventors: Hung-Mine Tsai, Ching-Nan Hsiao, Chung-Lin Huang
  • Patent number: 7629247
    Abstract: A method of forming a three-dimensional, non-volatile memory array utilizing damascene fabrication techniques is disclosed. A bottom set of conductors is formed and a set of first pillar shaped elements of heavily doped semiconductor material as formed thereon. A mold is formed of insulating material having pillar shaped openings self-aligned with the first pillar shaped elements and a second semiconductor is deposited over the mold to form second pillar shaped elements aligned with the first pillar shaped elements. The pillar elements formed may be further processed by forming another mold of insulating material having trench openings aligned with the pillar shaped elements and then filling the trenches with conductive material to form conductors coupled to the pillar shaped elements.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: December 8, 2009
    Assignee: Sandisk 3D LLC
    Inventors: Kang-Jay Hsia, Calvin Li, Christopher Petti
  • Patent number: 7629678
    Abstract: A method of sealing a microelectromechanical system (MEMS) device from ambient conditions is described, where the MEMS device is formed on a substrate and a substantially hermetic seal is formed as part of the MEMS device manufacturing process. The method includes forming a metal seal on the substrate proximate a perimeter of the MEMS device using a method such as photolithography. The metal seal is formed on the substrate while the MEMS device retains a sacrificial layer between conductive members of MEMS elements, and the sacrificial layer is removed after formation of the seal and prior to attachment of a backplane.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: December 8, 2009
    Assignee: Qualcomm Mems Technologies, Inc.
    Inventor: Philip D. Floyd
  • Patent number: 7622344
    Abstract: A method for manufacturing CMOS transistors includes an etching back process alternatively performed after the gate structure formation, the lightly doped drain formation, source/drain implantation, or SEG process to etch a hard mask layer covering and protecting a first type gate structure, and to reduce thickness deviation between the hard masks covering the first type gate structure and a second type gate structure. Therefore the damage to spacers, STIs, and the profile of the gate structures due to the thickness deviation is prevented.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: November 24, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chia-Wen Liang, Cheng-Tung Huang, Shyh-Fann Ting, Chih-Chiang Wu, Shih-Chieh Hsu, Li-Shian Jeng, Kun-Hsien Lee, Meng-Yi Wu, Wen-Han Hung, Tzyy-Ming Cheng
  • Patent number: 7622342
    Abstract: A method for fabricating a back-illuminated semiconductor imaging device on a semiconductor-on-insulator substrate, and resulting imaging device is disclosed. A substrate which includes an insulator layer and an epitaxial layer substantially overlying the insulator layer is provided. At least one bond pad region is formed extending into the epitaxial layer to a surface of the insulator layer. At least one bond pad is fabricated at least partially overlying the at least one bond pad region. At least one imaging component is fabricated at least partially overlying and extending into the epitaxial layer. A passivation layer is fabricated substantially overlying the epitaxial layer, the at least one bond pad, and the at least one imaging component. A handle wafer is bonded to the passivation layer. The at least a portion of the insulator layer and at least a portion of the bond pad region is etched to expose at least a portion of the at least one bond pad.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: November 24, 2009
    Assignee: Sarnoff Corporation
    Inventors: Pradyumna Kumar Swain, Mahalingam Bhaskaran, Peter Levine
  • Patent number: 7618853
    Abstract: A field effect transistor (FET) device includes a gate conductor and gate dielectric formed over an active device area of a semiconductor substrate. A drain region is formed in the active device area of the semiconductor substrate, on one side of the gate conductor, and a source region is formed in the active device area of the semiconductor substrate, on an opposite side of the gate conductor. A dielectric halo or plug is formed in the active area of said semiconductor substrate, the dielectric halo or plug disposed in contact between the drain region and a body region, and in contact between the source region and the body region.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: November 17, 2009
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Dureseti Chidambarrao, Oleg Gluschenkov
  • Patent number: 7615443
    Abstract: The invention discloses a method of forming a finFET device. A hard mask layer is formed on an active area of a semiconductor substrate. A portion of the hard mask layer is etched to form a recess. A conformal gate defining layer is deposited on the recess and a tilt angle ion implantation process is performed. A part of the gate defining layer is removed to define a fin pattern. The fin pattern is subsequently transferred to the hard mask layer. The patterned hard mask layer having the fin pattern is utilized as an etching mask, and the semiconductor substrate is etched to form a fin structure.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: November 10, 2009
    Assignee: Nanya Technology Corp.
    Inventors: Chih-Hao Cheng, Tzung-Han Lee
  • Patent number: 7615428
    Abstract: Method and apparatus are described for a memory cell includes a substrate, a body extending vertically from the substrate, a first gate having a vertical member and a horizontal member and a second gate comprising a vertical member and a horizontal member. The first gate is disposed laterally from the body and the second gate is disposed laterally from the first gate. The horizontal member of the first gate overlaps the horizontal member of the second gate.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: November 10, 2009
    Assignee: Intel Corporation
    Inventors: Jun-Fei Zheng, Pranav Kalavade
  • Patent number: 7611992
    Abstract: A semiconductor light emitting element including a conductive substrate, a bonding metal layer formed on the conductive substrate, a barrier layer formed on the bonding metal layer, a reflective layer formed on the barrier layer, an ohmic electrode layer formed on the reflective layer, a second conductivity type semiconductor layer formed on the ohmic electrode layer, a light emitting layer formed on the second conductivity type semiconductor layer, and a first conductivity type semiconductor layer formed on the light emitting layer, wherein outer peripheries of the second conductivity type semiconductor layer, the light emitting layer, and the first conductivity type semiconductor layer are removed, and a method of manufacturing the same are provided.
    Type: Grant
    Filed: November 19, 2007
    Date of Patent: November 3, 2009
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Atsuo Tsunoda
  • Patent number: 7611918
    Abstract: A CMOS image sensor and a method of fabricating the same are provided. The CMOS image sensor includes: an epitaxial layer of a first conductivity type, formed in a semiconductor substrate of the first conductivity type; a blue photodiode region of a second conductivity type, formed in the epitaxial layer at a first depth; a green photodiode region of the second conductivity type, spaced apart from the blue photodiode region and formed in the epitaxial layer at a second depth larger than the first depth; and a red photodiode region of the second conductivity type, spaced apart from the green photodiode region and formed in the epitaxial layer at a third depth larger than the second depth.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: November 3, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hwang Joon
  • Patent number: 7605092
    Abstract: Systems and methods associated with semiconductor articles are disclosed, including forming a first layer of material on a substrate, etching trenches within regions defining a passive element in the first layer, forming metal regions on sidewalls of the trenches, and forming a region of dielectric or polymer material over or in the substrate. Moreover, an exemplary method may also include forming areas of metal regions on the sidewalls of the trenches such that planar strip portions of the areas form electrically conductive regions of the passive element(s) that are aligned substantially perpendicularly with respect to a primary plane of the substrate. Other exemplary embodiments may comprise various articles or methods including capacitive and/or inductive aspects, Titanium- and/or Tantalum-based resistive aspects, products, products by processes, packages and composites consistent with one or more aspects of the innovations set forth herein.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: October 20, 2009
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Bomy Chen, Long Ching Wang, Sychyi Fang
  • Patent number: 7601601
    Abstract: An object is to provide a method for manufacturing, with high yield, a semiconductor device having a crystalline semiconductor layer even if a substrate with low upper temperature limit. A groove is formed in a part of a semiconductor substrate to form a semiconductor substrate that has a projecting portion, and a bonding layer is formed to cover the projecting portion. In addition, before the bonding layer is formed, a portion of the semiconductor substrate to be the projecting portion is irradiated with accelerated ions to form a brittle layer. After the bonding layer and the supporting substrate are bonded together, heat treatment for separation of the semiconductor substrate is performed to provide a semiconductor layer over the supporting substrate. The semiconductor layer is selectively etched, and a semiconductor element is formed and a semiconductor device is manufactured.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: October 13, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideto Ohnuma
  • Patent number: 7601579
    Abstract: A method of manufacturing a semiconductor integrated circuit including a logic part and a memory array part, the logic part having N-type and P-type FETs, and the memory array part having N-type and P-type FETs, includes the steps of forming N-type and P-type FETs constituting the logic part and the memory array part, thereafter sequentially forming a first insulation film having a tensile stress and a second insulation film on the whole surface, selectively removing the second insulation film and the first insulation film present on the upper side of the region of the P-type FET constituting the logic part, then forming a third insulation film having a compressive stress on the whole surface, and thereafter selectively removing the third insulation film present on the upper side of the region of the N-type FET constituting the logic part and the third insulation film present on the upper side of the regions of the N-type and P-type FETs constituting the memory array part.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: October 13, 2009
    Assignee: Sony Corporation
    Inventor: Michihiro Kanno
  • Patent number: 7598180
    Abstract: A method for removing defects due to edge chips of a semiconductor wafer is disclosed. This method includes forming a molding layer over a semiconductor wafer. The molding layer is patterned to form a plurality of storage node holes, where the plurality of storage node holes include at least one first storage node hole formed on an effective chip area and at least one second storage node hole formed on an edge chip area. First storage nodes and second storage nodes are formed in the first and second storage node holes, respectively. A photoresist pattern is formed on the wafer having the storage nodes. The photoresist pattern is preferably formed to expose the effective chip areas and to cover the edge chip areas. The molding layer is etched, using the photoresist pattern as an etching mask, to expose portions of the first storage nodes.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: October 6, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Hun Park, Hee-Sun Chae, Kyoung-Shin Park
  • Patent number: 7585765
    Abstract: An interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces the surface oxidation problem of plating a conductive material onto a noble metal seed layer are provided. In accordance with the present invention, a hydrogen plasma treatment is used to treat a noble metal seed layer such that the treated noble metal seed layer is highly resistant to surface oxidation. The inventive oxidation-resistant noble metal seed layer has a low C content and/or a low nitrogen content.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: September 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Nancy R. Klymko, Christopher C. Parks, Keith Kwong Hon Wong
  • Patent number: 7585763
    Abstract: A patterned anti-reflective coating may be used as a selective implant-blocking layer during fabrication of an integrated circuit transistor. In particular, the anti-reflective coating may be used as a gate sidewall spacer to block at least some dopants from an integrated circuit substrate beneath the gate sidewall spacer. Moreover, a single mask may be used when fabricating source and drain extension regions and source and drain regions of an integrated circuit transistor.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: September 8, 2009
    Assignees: Samsung Electronics Co., Ltd., Infineon Technologies AG
    Inventors: Sang Jine Park, Chong Kwang Chang, Seok-Gyu Lee, Lothar Doni
  • Patent number: 7582527
    Abstract: Method for fabricating a semiconductor device, including the steps of providing a first conductive type semiconductor substrate having a cell region and a logic region defined thereon, forming a first insulating film, second conductive type polysilicon, and a second insulating film in succession on the semiconductor substrate, selectively removing the first insulating film, the polysilicon, and the second insulating film, to form a floating gate pattern at the cell region, elevating a temperature initially in a state O2 gas is injected, maintaining a fix temperature, and dropping the temperature in a state N2 gas is injected, to form a gate oxide film on a surface of the semiconductor substrate at the logic region, and forming a gate electrode pattern at each of the cell region and the logic region, whereby preventing a threshold voltage of a semiconductor device from dropping due to infiltration of impurities from doped polysilicon at the cell region to the active channel region.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: September 1, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Bum Lee
  • Patent number: 7575962
    Abstract: Provided are a fin structure and a method of manufacturing a fin transistor adopting the fin structure. A plurality of mesa structures including sidewalls are formed on the substrate. A semiconductor layer is formed on the mesa structures. A capping layer is formed on the semiconductor layer. Thus, the semiconductor layer is protected by the capping layer and includes a portion which is to be formed as a fin structure. A portion of an upper portion of the capping layer is removed by planarizing, and thus a portion of the semiconductor layer on upper surfaces of the mesa structures is removed. As a result, fin structures are formed on sides of the mesa structures to be isolated from one another. Therefore, a fin structure having a very narrow width can be formed, and a thickness and a location of the fin structure can be easily controlled.
    Type: Grant
    Filed: July 16, 2007
    Date of Patent: August 18, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hans S. Cho, Young-soo Park, Wenxu Xianyu
  • Patent number: 7572656
    Abstract: A method for fabricating a device is disclosed. The method includes providing a substrate; forming a thin film on the substrate; forming a photoresistable layer on the thin film; irradiating light onto the photoresistable layer through a photo mask having a transmissive region, a semi-transmissive region, a diffractive region and an interceptive region, and developing the photoresistable layer to form a photoresist pattern having at least three different thicknesses. With the above-described process, a liquid crystal display device (LCD), for example, can be manufactured using three photo masks.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: August 11, 2009
    Assignee: LG Display Co., Ltd.
    Inventor: Jae-Moon Soh
  • Patent number: 7573123
    Abstract: Provided are a semiconductor device, and a method of forming the same. In one embodiment, the semiconductor device includes a semiconductor layer, first and second semiconductor fins, an insulating layer, and an inter-fin connection member. The first and second semiconductor fins are placed on the semiconductor layer, and have different crystal directions. The first semiconductor fin is connected to the semiconductor layer, and has the equivalent crystal direction as that of the semiconductor layer. The insulating layer is interposed between the second semiconductor fin and the semiconductor layer, and has an opening in which the first semiconductor fin is inserted. The inter-fin connection member connects the first semiconductor fin and the second semiconductor fin together on the insulating layer.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: August 11, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Soo Park, Kyoo-Chul Cho, Hee-Sung Kim, Tae-Soo Kang, Sam-Jong Choi
  • Patent number: 7569486
    Abstract: A system and method of preventing pattern lifting during a trench etch/clean process is disclosed. A first layer comprising a first dip is formed over a first via pattern. A trench resist layer is formed. The trench resist layer is patterned with a trench reticle to produce a second via pattern in the trench resist layer over the first via pattern. A photo resist over the first via pattern is opened during a trench processing. Thus, an additional pattern added on a trench pattern reticle is used to open, i.e., remove resist over, a huge via feature area causing under layer dip.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: August 4, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Yong Seok Choi, Jeannette Michelle Jacques
  • Patent number: 7566596
    Abstract: A method of manufacturing a thin film transistor substrate includes forming a transistor thin layer pattern, forming a protecting layer, forming a photoresist film, forming a pixel electrode and a conductive layer that are separated from each other, stripping a photoresist pattern to remove the conductive layer using a stripping composition and dissolving the conductive layer. The method of manufacturing a thin film transistor substrate is capable of improving an efficiency of manufacturing process of the thin film transistor substrate. In addition, the stripping composition is recycled.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: July 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sik Park, Shi-Yul Kim, Jong-Hyun Choung, Won-Suk Shin
  • Patent number: 7566574
    Abstract: A method of performing a double-sided process is provided. First, a wafer having a structural pattern disposed on the front surface is provided. Following that, a plurality of front scribe lines are defined on the structural pattern, and a filling layer is filled into the front scribe lines. Subsequently, the structural pattern is bonded to a carrier wafer with a bonding layer, and a plurality of back scribe lines are defined on the back surface of the wafer. Finally, the filling layer filled in the front scribe lines is removed.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: July 28, 2009
    Assignee: Touch Micro-System Technology Inc.
    Inventor: Chen-Hsiung Yang
  • Patent number: 7563697
    Abstract: Hydrogen gas is ion-implanted into a silicon wafer for active layer via an insulating film, and thus ion-implanted wafer is then bonded with a supporting wafer via an insulating film interposed therebetween. This bonded wafer is heated to 500° C., so that a part of the bonded wafer is cleaved and separated, thereby producing an SOI wafer. Subsequently, thus-obtained SOI wafer is subjected to a heat treatment in an argon gas atmosphere. After that, the SOI wafer is subjected to an oxidation process in an oxidizing atmosphere, and thus formed oxide film is removed using an HF solution. Consequently, the surface of the SOI wafer is recrystallized and thus planarized.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: July 21, 2009
    Assignee: Sumco Corporation
    Inventors: Nobuyuki Morimoto, Hideki Nishihata
  • Patent number: 7563719
    Abstract: A dual damascene process. A first photoresist layer with a first opening corresponding to a trench pattern is formed on a dielectric layer. A second photoresist layer with a second opening corresponding to a via pattern smaller then the trench pattern is formed on the first photoresist layer and extends to a portion of the dielectric layer. The second photoresist layer has a material character different from the first photoresist layer. A via etching process using the second photoresist as a mask is performed to form a via hole passing through the dielectric layer. A photoresist ashing process is performed to remove the second photoresist layer. A trench etching process using the first photoresist layer as a mask is performed to form a trench in the upper portion of the dielectric layer. The via etching process, the photoresist ashing process and the trench etching process are performed as a continuous process in one chamber.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: July 21, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Po-Zen Chen, Tzu-Chan Weng, Chien-Chung Chen