Using Electromagnetic Radiation, E.g., Laser Radiation (epo) Patents (Class 257/E21.347)
  • Patent number: 8759164
    Abstract: In a method for manufacturing an integral imaging device, a layer of curable adhesive is first applied on a flexible substrate and half cured such that the curable adhesive is solidified but is capable of deforming under external forces. Then the curable adhesive is printed into a lenticular lens having a predetermined shape and size using a roll-to-roll processing device and fully cured such that the curable adhesive is capable of withstanding external forces to hold the predetermined shape and size. Last, a light emitting diode display is applied on the flexible substrate opposite to the lenticular lens such that an image plane of the light emitting diode display coincides with a focal plane of the lenticular lens.
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: June 24, 2014
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Chia-Ling Hsu
  • Patent number: 8753985
    Abstract: Molecular layer deposition of silicon carbide is described. A deposition precursor includes a precursor molecule which contains silicon, carbon and hydrogen. Exposure of a surface to the precursor molecule results in self-limited growth of a single layer. Though the growth is self-limited, the thickness deposited during each cycle of molecular layer deposition involves multiple “atomic” layers and so each cycle may deposit thicknesses greater than typically found during atomic layer depositions. Precursor effluents are removed from the substrate processing region and then the surface is irradiated before exposing the layer to the deposition precursor again.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: June 17, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Brian Underwood, Abhijit Basu Mallick, Nitin K. Ingle
  • Patent number: 8748236
    Abstract: A method for manufacturing a semiconductor device includes irradiating light to an effective region of a semiconductor substrate. A wavelength of the light is a wavelength adapted so that light absorptance of the semiconductor substrate increases if an intensity of the light increases. The light is irradiated so that a focus point of the light is made within the semiconductor substrate in the irradiating.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: June 10, 2014
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Atsushi Tanida
  • Patent number: 8741777
    Abstract: A substrate processing method for forming a space extending along a predetermined line in a silicon substrate includes a first step of converging a laser light which is an elliptically-polarized light having an ellipticity other than 1 at the substrate so as to form a plurality of modified spots within the substrate along the line and construct a modified region including the modified spots, and a second step of anisotropically etching the substrate so as to advance an etching selectively along the modified region and form the space in the substrate. In the first step, the light is converged at the substrate such that a moving direction of the light with respect to the substrate and a direction of polarization of the light form an angle of less than 45° therebetween, and the modified spots are made align in a plurality of rows along the line.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: June 3, 2014
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Hideki Shimoi, Keisuke Araki
  • Patent number: 8728917
    Abstract: A carbon nanotube forming method including providing a target substrate to be processed, a catalytic metal layer being formed on a surface of the target substrate; producing catalytic fine metal particles whose surfaces are oxidized by action of an oxygen plasma on the catalytic metal layer at a temperature T1; and activating the oxidized surfaces of the catalytic fine metal particles by reducing the oxidized surfaces of the catalytic fine metal particles by action of a hydrogen plasma on the catalytic fine metal particles at a temperature T2 higher than the temperature T1. The method further includes growing a carbon nanotube on the activated catalytic fine metal particles by thermal CVD at a temperature T3.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: May 20, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Takashi Matsumoto, Osayuki Akiyama, Kenjiro Koizumi
  • Patent number: 8728914
    Abstract: Fractures (17a, 17b) are generated from modified regions (7a, 7b) to front and rear faces (12a, 12b) of a object to be processed (1), respectively, while an unmodified region (2) is interposed between the modified regions (7a, 7b). This can prevent fractures from continuously advancing in the thickness direction of a silicon substrate (12) when forming a plurality of rows of modified regions (7). By generating a stress in the object (1), the fractures (17a, 17b) are connected to each other in the unmodified region (2), so as to cut the object (1). This can prevent fractures from meandering in the rear face (12b) of the object (1) and so forth, whereby the object (1) can be cut accurately along a line to cut the object (5).
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: May 20, 2014
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Takeshi Sakamoto, Aiko Nakagawa
  • Patent number: 8728915
    Abstract: A wafer laser-marking method is provided. First, a wafer having a first surface (an active surface) and a second surface (a back surface) opposite to each other is provided. Next, the wafer is thinned. Then, the thinned wafer is fixed on a non-UV tape such that the second surface of the wafer is attached to the tape. Finally, the laser marking step is performed, such that a laser light penetrates the non-UV tape and marks a pattern on the second surface of the wafer. According to the laser-marking method of the embodiment, the pattern is formed by the non-UV residuals left on the second surface of the wafer, and the components of the glue residuals at least include elements of silicon and carbon.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: May 20, 2014
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: Yu-Pin Tsai, Cheng-I Huang, Yao-Hui Hu
  • Patent number: 8703582
    Abstract: An element-group formation substrate (20) having plural semiconductor light emitting elements (21) formed on a substrate front surface (11a) is sequentially irradiated with a laser beam (64) having a first output from a substrate back surface (11b) side in the y direction, and the laser beam (64) is sequentially collected to a part having a first depth D1 from the substrate back surface (11b), thereby forming a first modified region L1. The substrate (20) having the first modified region L1 formed therein is sequentially irradiated with the laser beam (64) having a third output (<the first output) from the substrate back surface 11b side in the y direction, and the laser beam (64) is sequentially collected to a part having a third depth D3 from the substrate back surface (11b) shallower than the first depth D1, thereby forming a third modified region L3.
    Type: Grant
    Filed: June 14, 2011
    Date of Patent: April 22, 2014
    Assignee: Toyoda Gosei Co., Ltd.
    Inventor: Yoshinori Abe
  • Patent number: 8697585
    Abstract: A crystallization method is disclosed. In one embodiment, the method includes providing a substrate having an amorphous silicon layer, wherein the substate has first and second sides opposing each other and irradiating a laser beam onto the substrate so as to have an inclined angle with respect to the first and second sides of the substrate. The method further includes relatively moving one of the laser beam and the substate with respect to the other i) in a first direction from the first side to the second side of the substate and ii) in a second direction which crosses the first direction.
    Type: Grant
    Filed: January 21, 2013
    Date of Patent: April 15, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventor: In-Do Chung
  • Patent number: 8691605
    Abstract: A method and apparatus for forming a crystalline semiconductor layer on a substrate are provided. A semiconductor layer is formed by vapor deposition. A pulsed laser melt/recrystallization process is performed to convert the semiconductor layer to a crystalline layer. Laser, or other electromagnetic radiation, pulses are formed into a pulse train and uniformly distributed over a treatment zone, and successive neighboring treatment zones are exposed to the pulse train to progressively convert the deposited material to crystalline material.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: April 8, 2014
    Assignee: Applied Materials, Inc.
    Inventor: Stephen Moffatt
  • Patent number: 8685269
    Abstract: A laser processing method of converging laser light into an object to be processed made of silicon so as to form a modified region and etching the object along the modified region so as to form the object with a through hole comprises a laser light converging step of converging the laser light at the object so as to form the modified region along a part corresponding to the through hole in the object; an etch resist film producing step of producing an etch resist film resistant to etching on an outer surface of the object after the laser light converging step; and an etching step of etching the object so as to advance the etching selectively along the modified region and form the through hole after the etch resist film producing step; while the laser light converging step exposes the modified region to the outer surface of the object.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: April 1, 2014
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Hideki Shimoi, Keisuke Araki
  • Patent number: 8669496
    Abstract: An apparatus for heat treating semiconductor wafers is disclosed. The apparatus includes a heating device which contains an assembly linear lamps for emitting light energy onto a wafer. The linear lamps can be placed in various configurations. In accordance with the present invention, tuning devices which are used to adjust the overall irradiance distribution of the light energy sources are included in the heating device. The tuning devices can be, for instance, are lamps or lasers.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: March 11, 2014
    Assignee: Mattson Technology, Inc.
    Inventor: Paul Janis Timans
  • Patent number: 8664116
    Abstract: Ions of silicon are implanted into source/drain regions in a semiconductor wafer to amorphize an ion implantation region in the semiconductor wafer. A nickel film is deposited on the amorphized ion implantation region. First irradiation from a flash lamp is performed on the semiconductor wafer with the nickel film deposited thereon to increase the temperature of a front surface of the semiconductor wafer from a preheating temperature to a target temperature for a time period in the range of 1 to 20 milliseconds. Subsequently, second irradiation from the flash lamp is performed to maintain the temperature of the front surface of the semiconductor wafer within a ±25° C. range around the target temperature for a time period in the range of 1 to 100 milliseconds. This causes nickel silicide to grow preferentially in a direction perpendicular to the semiconductor wafer.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: March 4, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kazuhiko Fuse, Shinichi Kato
  • Publication number: 20140057412
    Abstract: A method for fusing a laser fuse in accordance with various embodiments may include: providing a semiconductor workpiece having a substrate region and at least one laser fuse; fusing the at least one laser fuse from a back side of the substrate region by means of an infrared laser beam.
    Type: Application
    Filed: August 27, 2012
    Publication date: February 27, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Gunther Mackh, Gerhard Leschik
  • Patent number: 8652974
    Abstract: A fiber laser system enables a method for treating a semiconductor material by preheating a wafer for laser annealing and gas immersion laser doping by a laser source. A long wave length fiber laser having a Gaussian or similar profile is applied in a full-width ribbon beam across an incident wafer. Preferably the wavelength is greater than 1 ?m (micron) and preferably a Yb doped fiber laser is used. The process is performed in a suitable environment which may include doping species. The process ensures the temperature gradient arising during processing does not exceed a value that results in fracture of the wafer while also reducing the amount of laser radiation required to achieve controlled surface melting, recrystallization and cooling.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: February 18, 2014
    Assignee: IPG Photonics Corporation
    Inventor: Bernhard Piwczyk
  • Patent number: 8652893
    Abstract: A semiconductor device and its manufacturing method, wherein the NMOS device is covered by a layer of silicon nitride film having a high ultraviolet light absorption coefficient through PECVD, said silicon nitride film can well absorb ultraviolet light when being subject to the stimulated laser surface anneal so as to achieve a good dehydrogenization effect, and after dehydrogenization, the silicon nitride film will have a high tensile stress; since the silicon nitride film has a high ultraviolet light absorption coefficient, there is no need to heat the substrate, thus avoiding the adverse influences to the device caused by heating the substrate to dehydrogenize, and maintaining the heat budget brought about by the PECVD process.
    Type: Grant
    Filed: November 25, 2011
    Date of Patent: February 18, 2014
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huaxiang Yin, Qiuxia Xu, Dapeng Chen
  • Patent number: 8629522
    Abstract: A laser annealing method for executing laser annealing by irradiating a semiconductor film formed on a surface of a substrate with a laser beam, the method including the steps of, generating a linearly polarized rectangular laser beam whose cross section perpendicular to an advancing direction is a rectangle with an electric field directed toward a long-side direction of the rectangle or an elliptically polarized rectangular laser beam having a major axis directed toward a long-side direction, causing the rectangular laser beam to be introduced to the surface of the substrate, and setting a wavelength of the rectangular laser beam to a length which is about a desired size of a crystal grain in a standing wave direction.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: January 14, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Ryusuke Kawakami, Kenichirou Nishida, Norihito Kawaguchi, Miyuki Masaki, Atsushi Yoshinouchi
  • Patent number: 8569814
    Abstract: The energy distribution in the short-side direction of a rectangular laser beam applied to an amorphous semiconductor film (amorphous silicon film) is uniformized. It is possible to the energy distribution in the short-side direction of the rectangular laser beam by the use of a cylindrical lens array or a light guide and concentrating optical systems or by the use of an optical system including a diffracting optical element. Accordingly, since the effective energy range of a laser beam applied to the amorphous semiconductor film is widened and the transport speed of a substrate can be enhanced as much, it is possible to improve the processing ability of the laser annealing.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: October 29, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kenichiro Nishida, Ryusuke Kawakami, Norihito Kawaguchi, Miyuki Masaki
  • Patent number: 8569187
    Abstract: The present invention generally relates to an optical system that is able to reliably deliver a uniform amount of energy across an anneal region contained on a surface of a substrate. The optical system is adapted to deliver, or project, a uniform amount of energy having a desired two-dimensional shape on a desired region on the surface of the substrate. An energy source for the optical system is typically a plurality of lasers, which are combined to form the energy field.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: October 29, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Moffatt, Douglas E. Holmgren, Samuel C. Howells, Edric Tong, Bruce E. Adams, Jiping Li, Aaron Muir Hunter
  • Patent number: 8558291
    Abstract: An apparatus for annealing a substrate includes a substrate stage having a substrate mounting portion configured to mount the substrate; a heat source having a plurality of heaters disposed under the substrate mounting portion, the heaters individually preheating a plurality areas defined laterally in the substrate through a bottom surface of the substrate; and a light source facing a top surface of the substrate, configured to irradiate a pulsed light at a pulse width of about 0.1 ms to about 100 ms on the entire top surface of the substrate.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: October 15, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takayuki Ito
  • Patent number: 8546805
    Abstract: Systems and methods are disclosed for performing laser annealing in a manner that reduces or minimizes wafer surface temperature variations during the laser annealing process. The systems and methods include annealing the wafer surface with first and second laser beams that represent preheat and anneal laser beams having respective first and second intensities. The preheat laser beam brings the wafer surface temperate close to the annealing temperature and the anneal laser beam brings the wafer surface temperature up to the annealing temperature. The anneal laser beam can have a different wavelength, or the same wavelength but different orientation relative to the wafer surface. Reflectivity maps of the wafer surface at the preheat and anneal wavelengths are measured and used to select first and second intensities that ensure good anneal temperature uniformity as a function of wafer position.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: October 1, 2013
    Assignee: Ultratech, Inc.
    Inventors: Xiaohua Shen, Yun Wang, Xiaoru Wang
  • Patent number: 8546065
    Abstract: A mask for crystallizing a semiconductor layer includes a plurality of first main-slit portions, a plurality of second main-slit portions, upper slit portion and lower slit portion. The first main-slit portions extend along an inclined direction with respect to a first direction. The second main-slit portions are spaced apart from the first main-slit portions. The upper slit portion is disposed on the first main-slit portions along a second direction to be parallel to the first main-slit portions, and extends partway over the second main-slit portions to be longer than the first main-slit portions. The lower slit portion is disposed under the second main-slit portions along the second direction to be parallel to the second main-slit portions, and extends partway under the first main-slit portions to be longer than the second main-slit portions.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: October 1, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventor: Cheol-Ho Park
  • Patent number: 8541319
    Abstract: A laser processing method comprises a laser light converging step of converging a laser light at a sheet-like object to be processed made of silicon so as to form a modified region within the object, and an etching step of anisotropically etching the object so as to thin the object to a target thickness and advancing the etching selectively along the modified region so as to form the object with a through hole tilted with respect to a thickness direction of the object after the laser light converging step, wherein the laser light converging step forms a first modified region as the modified region in a part corresponding to the through hole in the object and a second modified region as the modified region extending parallel to the thickness direction and joining with the first modified region in a part to be removed upon thinning by the anisotropic etching in the object, and wherein the etching step advances the etching selectively along the second modified region and then along the first modified region whil
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: September 24, 2013
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Hideki Shimoi, Keisuke Araki
  • Publication number: 20130230987
    Abstract: Provided herein are integration-compatible dielectric films and methods of depositing and modifying them. According to various embodiments, the methods can include deposition of flowable dielectric films targeting specific film properties and/or modification of those properties with an integration-compatible treatment process. In certain embodiments, methods of depositing and modifying flowable dielectric films having tunable wet etch rates and other properties are provided. Wet etch rates can be tuned during integration through am integration-compatible treatment process. Examples of treatment processes include plasma exposure and ultraviolet radiation exposure.
    Type: Application
    Filed: June 11, 2012
    Publication date: September 5, 2013
    Inventors: Nerissa Draeger, Karena Shannon, Bart van Schravendijk, Kaihan Ashtiani
  • Patent number: 8518803
    Abstract: A laser processing method for a semiconductor wafer including a groove forming step of applying a pulsed laser beam having an absorption wavelength to the semiconductor wafer along a division line formed on the semiconductor wafer to thereby form a laser processed groove along the division line on the semiconductor wafer, wherein the pulse width of the pulsed laser beam to be applied in the groove forming step is set to 2 ns or less, and the peak energy density per pulse of the pulsed laser beam is set less than or equal to an inflection point where the depth of the laser processed groove steeply increases with an increase in the peak energy density.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: August 27, 2013
    Assignee: Disco Corporation
    Inventor: Hiroshi Morikazu
  • Publication number: 20130210234
    Abstract: Lithography processes are provided. The lithography process includes installing a reticle masking (REMA) part having a REMA open region in a lithography apparatus, loading a reticle including at least one reticle chip region in which circuit patterns are disposed into the lithography apparatus, and sequentially exposing a first wafer field, which includes a first chip region corresponding to the reticle chip region, and a second wafer field, which includes a second chip region corresponding to the reticle chip region, of a wafer to rays using the reticle and the REMA part to transfer images of the circuit patterns onto the wafer. An edge boundary of the REMA open region transferred on the first wafer field is located on a scribe lane region between the first and second chip regions while the first wafer field is exposed. Methods of manufacturing a semiconductor device using the lithography process are also provided.
    Type: Application
    Filed: September 14, 2012
    Publication date: August 15, 2013
    Applicant: SK HYNIX INC.
    Inventors: Jun Taek PARK, Chang Moon LIM, Seok Kyun KIM
  • Publication number: 20130210188
    Abstract: A method for reducing stripe patterns comprising receiving scattered light signals from a backside surface of a laser annealed backside illuminated image sensor wafer, generating a backside surface image based upon the scattered light signals, determining a distance between an edge of a sensor array of the laser anneal backside illuminated image sensor wafer and an adjacent boundary of a laser beam and re-calibrating the laser beam if the distance is less than a predetermined value.
    Type: Application
    Filed: February 10, 2012
    Publication date: August 15, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung Chien Wang, Yeur-Luen Tu, Cheng-Ta Wu, Chia-Shiung Tsai
  • Patent number: 8507327
    Abstract: Cutting work is performed on an n-semiconductor substrate (1) with an inverted trapezoid-shaped dicing blade to form grooves to be a second side walls (7). Bottom portions of the grooves are contacted with a p-diffusion layer (4) which is formed on a first principal plane (2) (front face) of the n-semiconductor substrate (1), so that the p-diffusion layer (4) is not cut. Then in the second side walls (7), a p-isolation layer (9) connected to a p-collector layer (8) and the p-diffusion layer (4) is formed. Since the p-diffusion layer (4) is not cut, a glass support substrate for supporting a wafer, and expensive adhesive, are not required, and therefore the p-isolation layer (4) can be formed at low cost.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: August 13, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Yasuhiko Tsukamoto, Kazuo Shimoyama
  • Patent number: 8492862
    Abstract: One object is to provide a deposition technique for forming an oxide semiconductor film. By forming an oxide semiconductor film using a sputtering target including a sintered body of a metal oxide whose concentration of hydrogen contained is low, for example, lower than 1×1016 atoms/cm3, the oxide semiconductor film contains a small amount of impurities such as a compound containing hydrogen typified by H2O or a hydrogen atom. In addition, this oxide semiconductor film is used as an active layer of a transistor.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: July 23, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Takayama, Keiji Sato
  • Patent number: 8481378
    Abstract: A method for selective deposition of Si or SiGe on a Si or SiGe surface exploits differences in physico-chemical surface behavior according to a difference in doping of first and second surface regions. By providing at least one first surface region with a Boron doping of a suitable concentration range and exposing the substrate surface to a cleaning and passivating ambient atmosphere in a prebake at a temperature lower or equal to 800° C., a subsequent deposition step will prevent deposition in the first surface region. This allows selective deposition in the second surface region, which is not doped with the Boron (or doped with another dopant or not doped). Several devices are, thus, provided. The method saves a usual photolithography sequence, which according to prior art is required for selective deposition of Si or SiGe in the second surface region.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: July 9, 2013
    Assignees: STMicroelectronics (Crolles 2) SAS, NXP B.V.
    Inventors: Alexandre Mondot, Markus Gerhard Andreas Muller, Thomas Kormann
  • Patent number: 8470721
    Abstract: The electronic properties (such as electron mobility, resistivity, etc.) of an electronic material in operation in an electronic device or electronic circuit can be modified/enhanced when subjected to dynamic or stationary magnetic fields with current flowing through the electronic material. Heating or cooling of the electronic material further enhances the electronic properties.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: June 25, 2013
    Inventor: Brian I. Ashkenazi
  • Patent number: 8466074
    Abstract: A method for processing a substrate includes generating a first laser beam, splitting the first laser beam into a plurality of second laser beams, focusing the split second laser beams on a plane in the substrate parallel to a main surface of the substrate, and performing surface separation of the substrate along the plane.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: June 18, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-il Cho, Ho-tae Jin, Heui-seog Kim, Seon-ju Oh
  • Patent number: 8461033
    Abstract: A light-emission output of a flash lamp for performing a light-irradiation heat treatment on a substrate in which impurities are implanted is increased up to a target value L1 over a period of time from 1 to 100 milliseconds, is kept for 5 to 100 milliseconds within a fluctuation range of plus or minus 30% from the target value L1, and is then attenuated from the target value L1 to zero over a period of time from 1 to 100 milliseconds. That is, compared with conventional flash lamp annealing, the light-emission output of the flash lamp is increased more gradually, is kept to be constant for a certain period of time, and is then decreased more gradually. As a result, a total heat amount of a surface of the substrate increases compared with the conventional case, but a surface temperature thereof rises more gradually and then drops more gradually compared with the conventional case.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: June 11, 2013
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Shinichi Kato
  • Publication number: 20130137204
    Abstract: A crystallization apparatus for crystallizing a semiconductor layer formed on a substrate. The crystallization apparatus includes a laser generator, which generates a laser beam, an optical device for changing a path of the laser beam emitted from the laser generating device, and a stage on which the substrate is arranged, wherein the optical device changes the path of the laser beam by rotating with respect to a constant axis, and the stage is moved so that the laser beam having the changed path is irradiated to a constant region on the semiconductor layer.
    Type: Application
    Filed: September 26, 2012
    Publication date: May 30, 2013
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventor: SAMSUNG DISPLAY CO., LTD.
  • Patent number: 8445312
    Abstract: A method of manufacturing a crystalline silicon solar cell, subsequently including: providing a crystalline silicon substrate having a first side and a second side opposite the first side; pre-diffusing Phosphorus into a first side of the substrate to render a Phosphorus diffused layer having an initial depth; blocking the first side of the substrate; exposing a second side of the substrate to a Boron diffusion source; heating the substrate for a certain period of time and to a certain temperature so as to diffuse Boron into the second side of the substrate and to simultaneously diffuse the Phosphorus further into the substrate.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: May 21, 2013
    Assignee: Stichting Energieonderzoek Centrum Nederland
    Inventors: Valentin Dan Mihailetchi, Yuji Komatsu
  • Patent number: 8445390
    Abstract: A laser absorption layer is first selectively formed in a seal pattern region surrounding an array of electromechanical systems elements, followed by depositing an antistiction layer as a blanket layer over the substrate and the laser absorption layer. The antistiction layer is then selectively removed from the seal pattern using a laser. An epoxy sealing material is provided in the seal pattern where the antistiction layer was removed and a backplate is sealed to the substrate using epoxy.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: May 21, 2013
    Assignee: QUALCOMM MEMS Technologies, Inc.
    Inventor: Teruo Sasagawa
  • Publication number: 20130115726
    Abstract: A crystallization apparatus for crystallizing a semiconductor layer formed on a substrate, the crystallization apparatus including: a laser generator, which generates a laser beam, and a stage on which the substrate is mounted, where the semiconductor layer is divided into a plurality of crystallization areas and a plurality of non-crystallization areas, and the laser beam is radiated onto the crystallization areas a plurality of times to crystallize the crystallization areas, where the laser beam is radiated onto different positions of the same crystallization area a plurality of times.
    Type: Application
    Filed: April 20, 2012
    Publication date: May 9, 2013
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Sung-Ho Kim, Do-Young Kim, Min-Chul Shin, Min-Hwan Choi, Jong-Moo Huh
  • Publication number: 20130109200
    Abstract: There can be obtained a method for manufacturing a semiconductor device in which adherence of particles can be suppressed and printing onto a substrate can be done. The method for manufacturing a semiconductor device includes the steps of: preparing a substrate formed of a semiconductor; forming a protective film to cover at least a part of a main surface of the substrate; and doing printing onto the substrate by irradiating, with laser, the main surface having the protective film. In the step of forming a protective film, the protective film made of a material having a band gap larger than that of the semiconductor constituting the substrate is formed. In the step of doing printing onto the substrate, the substrate is irradiated with laser Lb having such a wavelength that an absorptance of the material for the protective film is smaller than that of the semiconductor constituting the substrate.
    Type: Application
    Filed: October 24, 2012
    Publication date: May 2, 2013
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventor: Sumitomo Electric Industries, Ltd.
  • Patent number: 8426324
    Abstract: A method for manufacturing a memory element is proposed. A laser beam emitted from a laser oscillator is entered into a deflector, and a laser beam which has passed through the deflector is entered into a diffractive optical element to be diverged into a plurality of laser beams. Then, a photoresist formed over an insulating film is irradiated with the laser beam which is made to diverge into the plurality of laser beams, and the photoresist irradiated with the laser beam is developed so as to selectively etch the insulating film.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: April 23, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koichiro Tanaka, Hirotada Oishi
  • Patent number: 8409982
    Abstract: A method includes forming a first substrate by (a) applying an electrodepositable dielectric coating onto a conductive surface; (b) curing the dielectric coating; (c) depositing an adhesion layer and a seed layer onto the dielectric coating; (d) applying a layer of a first removable material to the seed layer; (e) forming openings in the first removable material to expose areas of the seed layer; (f) electroplating a first conductive material to the exposed areas of the seed layer; (g) applying a layer of a second removable material; (h) forming openings in the second removable material to expose areas of the first conductive material; (i) plating a second conductive material to the exposed areas of the first conductive material; (j) removing the first and second removable materials; (k) removing unplated portions of the seed layer; repeating steps (a) through (k) to form a second substrate; and laminating the first and second substrates together with a layer of dielectric material between the first and secon
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: April 2, 2013
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Kevin C. Olson, Alan E. Wang
  • Patent number: 8405175
    Abstract: The present invention generally relates to a thermal processing apparatus and method that permits a user to index one or more preselected light sources capable of emitting one or more wavelengths to a collimator. Multiple light sources may permit a single apparatus to have the capability of emitting multiple, preselected wavelengths. The multiple light sources permit the user to utilize multiple wavelengths simultaneously to approximate “white light”. One or more of a frequency, intensity, and time of exposure may be selected for the wavelength to be emitted. Thus, the capabilities of the apparatus and method are flexible to meet the needs of the user.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: March 26, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Stephen Moffatt
  • Publication number: 20130056718
    Abstract: An electroluminescent organic semiconductor element includes a substrate and a first electrode arranged on the substrate. The semiconductor element additionally contains a second electrode and at least one organic layer, which is arranged between the first electrode and the second electrode. The organic layer is a layer that generates light by recombination of charge carriers. At least one of the first and the second electrode contains a highly conductive organic sublayer.
    Type: Application
    Filed: October 24, 2012
    Publication date: March 7, 2013
    Applicant: OSRAM OPTO SEMICONDUCTORS GMBH
    Inventor: OSRAM OPTO SEMICONDUCTORS GMBH
  • Publication number: 20130052837
    Abstract: A method includes performing an anneal on a wafer. The wafer includes a wafer-edge region, and an inner region encircled by the wafer-edge region. During the anneal, a first power applied on a portion of the wafer-edge region is at least lower than a second power for annealing the inner region.
    Type: Application
    Filed: August 23, 2011
    Publication date: February 28, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Chao Wang, Yu-Chang Lin, Li-Ting Wang, Tai-Chun Huang, Pei-Ren Jeng, Tze-Liang Lee
  • Patent number: 8383452
    Abstract: In one embodiment, a method for manufacturing a semiconductor device is disclosed. The method can include depositing a first amorphous film having a first impurity, depositing a third amorphous lower-layer film on the first amorphous film, forming microcrystals on the third amorphous lower-layer film, depositing a third amorphous upper-layer film on the third amorphous lower-layer film to cover the microcrystals, depositing a second amorphous film having a second impurity on the third amorphous upper-layer film, and radiating microwaves to crystallize the third amorphous lower-layer film and the third amorphous upper-layer film to form a third crystal layer, and crystallize the first amorphous film and the second amorphous film to form a first crystal layer and a second crystal layer.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: February 26, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tomonori Aoyama, Kiyotaka Miyano, Yusuke Oshiki
  • Publication number: 20130045609
    Abstract: A method for making a semiconductor device including the steps of exposing a semiconductor substrate to a process step or sequence of process steps of which at least one process performance parameter is determined in a region of the semiconductor substrate, and irradiating the region with a laser having laser irradiation parameters; wherein the irradiation parameters are determined based on the at least one process performance parameter.
    Type: Application
    Filed: December 9, 2010
    Publication date: February 21, 2013
    Applicant: EXCICO FRANCE
    Inventor: Simon Rack
  • Publication number: 20130040466
    Abstract: In a modified region forming step, an element-group formation substrate (20) having plural semiconductor light emitting elements (21) formed on a substrate front surface (11a) of a wafer substrate (11) is irradiated with laser light (64) from the substrate back surface (11b) of the substrate, thereby forming the following inside the substrate: first and third modified regions (L1) and (L3) oriented in a y-direction (corresponding to a first direction) that is parallel to the surfaces of the substrate; and second and fourth modified regions (L2) and (L4) oriented in an x-direction (corresponding to a second direction) that is parallel to the surfaces of the substrate and differs from the y-direction. In the step, the first modified region (L1), the second modified region (L2), the third modified region (L3) and the fourth modified region (L4) are formed at different depths from the substrate back surface of the substrate.
    Type: Application
    Filed: June 3, 2011
    Publication date: February 14, 2013
    Applicant: SHOWA DENKO K.K.
    Inventor: Yoshinori Abe
  • Patent number: 8367564
    Abstract: A crystallization method is disclosed. In one embodiment, the method includes providing a substrate having an amorphous silicon layer, wherein the substate has first and second sides opposing each other and irradiating a laser beam onto the substrate so as to have an inclined angle with respect to the first and second sides of the substrate. The method further includes relatively moving one of the laser beam and the substate with respect to the other i) in a first direction from the first side to the second side of the substate and ii) in a second direction which crosses the first direction.
    Type: Grant
    Filed: March 11, 2011
    Date of Patent: February 5, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventor: In-Do Chung
  • Publication number: 20130029498
    Abstract: A method for reducing a dielectric constant of a film includes (i) forming a dielectric film on a substrate; (ii) treating a surface of the film without film formation, and (III) curing the film. Step (i) includes providing a dielectric film containing a porous matrix and a porogen on a substrate, step (ii) includes, prior to or subsequent to step (iii), treating the dielectric film with charged species of hydrogen generated by capacitively-coupled plasma without film deposition to reduce a dielectric constant of the dielectric film, and step (iii) includes UV-curing the dielectric film to remove at least partially the porogen from the film.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: ASM Japan K.K.
    Inventor: Akinori Nakano
  • Publication number: 20130026543
    Abstract: A semiconductor device includes a plurality of active areas disposed on a semiconductor substrate. A manufacturing method of the semiconductor device includes performing a first annealing process on the semiconductor substrate by emitting a first laser alone a first scanning direction, and performing a second annealing process on the semiconductor substrate by emitting a second laser alone a second scanning direction. The first scanning direction and the second scanning direction have an incident angle.
    Type: Application
    Filed: July 26, 2011
    Publication date: January 31, 2013
    Inventors: Chan-Lon Yang, Tzu-Feng Kuo, Hsin-Huei Wu, Ching-I Li, Shu-Yen Chan
  • Patent number: 8361873
    Abstract: It is an object of the present invention is to provide a method of manufacturing an SOI substrate provided with a single-crystal semiconductor layer which can be practically used even when a substrate having a low heat-resistant temperature, such as a glass substrate or the like, is used, and further, to manufacture a semiconductor device with high reliability by using such an SOI substrate. A semiconductor layer which is separated from a semiconductor substrate and bonded to a supporting substrate having an insulating surface is irradiated with electromagnetic waves, and the surface of the semiconductor layer is subjected to polishing treatment. At least part of a region of the semiconductor layer is melted by irradiation with electromagnetic waves, and a crystal defect in the semiconductor layer can be reduced. Further, the surface of the semiconductor layer can be polished and planarized by polishing treatment.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: January 29, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideto Ohnuma, Ryota Imahayashi, Yoichi Iikubo, Kenichiro Makino, Sho Nagamatsu