With Source And Drain Recessed By Etching Or Recessed And Refi Lled (epo) Patents (Class 257/E21.431)
  • Publication number: 20110124169
    Abstract: Methods for selectively depositing an epitaxial layer are provided herein. In some embodiments, providing a substrate having a monocrystalline first surface and a non-monocrystalline second surface; exposing the substrate to a deposition gas to deposit a layer on the first and second surfaces, the layer comprising a first portion deposited on the first surfaces and a second portion deposited on the second surfaces; and exposing the substrate to an etching gas comprising a first gas comprising hydrogen and a halogen and a second gas comprising at least one of a Group III, IV, or V element to selectively etch the first portion of the layer at a slower rate than the second portion of the layer. In some embodiments, the etching gas comprises hydrogen chloride (HCl) and germane (GeH4).
    Type: Application
    Filed: August 3, 2010
    Publication date: May 26, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ZHIYUAN YE, SAURABH CHOPRA, YIHWAN KIM
  • Publication number: 20110121315
    Abstract: A recess along a sidewall is formed in a pMOS region and an nMOS region. An SiC layer of which thickness is thicker than a depth of the recess is formed in the recess. A sidewall covering a part of the SiC layer is formed at both lateral sides of a gate electrode in the pMOS region. A recess is formed by selectively removing the SiC layer in the pMOS region. A side surface of the recess at the gate insulating film side is inclined so that the upper region of the side surface, the closer to the gate insulating film in a lateral direction at a region lower than the surface of the silicon substrate. An SiGe layer is formed in the recess in the pMOS region.
    Type: Application
    Filed: September 29, 2010
    Publication date: May 26, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Hiroyuki Ohta, Yosuke Shimamune
  • Patent number: 7947557
    Abstract: The present invention relates to a heterojunction tunneling effect transistor (TFET), which comprises spaced apart source and drain regions with a channel region located therebetween and a gate stack located over the channel region. The drain region comprises a first semiconductor material and is doped with a first dopant species of a first conductivity type. The source region comprises a second, different semiconductor material and is doped with a second dopant species of a second, different conductivity type. The gate stack comprises at least a gate dielectric and a gate conductor. When the heterojunction TFET is an n-channel TFET, the drain region comprises n-doped silicon, while the source region comprises p-doped silicon germanium.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: May 24, 2011
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Haining S. Yang
  • Patent number: 7943449
    Abstract: A method for producing a semiconductor structure and a semiconductor component are described.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: May 17, 2011
    Assignee: Infineon Technologies Austria AG
    Inventors: Anton Mauder, Stefan Sedlmaier, Ralf Erichsen, Hans Weber, Oliver Haeberlen, Franz Hirler
  • Patent number: 7939413
    Abstract: An example embodiments are structures and methods for forming an FET with embedded stressor S/D regions (e.g., SiGe), a doped layer below the embedded S/D region adjacent to the isolation regions, and a stressor liner over reduced spacers of the FET gate. An example method comprising the following. We provide a gate structure over a first region in a substrate. The gate structure is comprised of gate dielectric, a gate, and sidewall spacers. We provide isolation regions in the first region spaced from the gate structure; and a channel region in the substrate under the gate structure. We form S/D recesses in the first region in the substrate adjacent to the sidewall spacers. We form S/D stressor regions filling the S/D recesses.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: May 10, 2011
    Assignees: Samsung Electronics Co., Ltd., Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yung Fu Chong, Zhijiong Luo, Joo Chan Kim, Brian Joseph Greene, Kern Rim
  • Patent number: 7935590
    Abstract: A method of manufacturing a metal oxide semiconductor is provided. The method includes forming an offset spacer and a disposable spacer around the offset spacer. Then, forming a plurality of epitaxial layers outside the disposable spacer and removing the disposable spacer. In addition, the method includes forming a plurality of source/drain extension areas in the substrate outside the offset spacer and the epitaxial layers. Because the source/drain extension areas are formed after the selective epitaxial growth process, the thermal of the selective epitaxial growth process does not damage the source/drain extension areas.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: May 3, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Bang-Chiang Lan, Chen-Hua Tsai, Yu-Hsin Lin, Tsung-Lung Tsai, Cheng-Tzung Tsai
  • Patent number: 7927954
    Abstract: A method for fabricating strained-silicon transistors is disclosed. First, a semiconductor substrate is provided and a gate structure and a spacer surrounding the gate structure are disposed on the semiconductor substrate. A source/drain region is then formed in the semiconductor substrate around the spacer, and a first rapid thermal annealing process is performed to activate the dopants within the source/drain region. An etching process is performed to form a recess around the gate structure and a selective epitaxial growth process is performed to form an epitaxial layer in the recess. A second rapid thermal annealing process is performed to redefine the distribution of the dopants within the source/drain region and repair the damaged bonds of the dopants.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: April 19, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Shyh-Fann Ting, Cheng-Tung Huang, Li-Shian Jeng, Kun-Hsien Lee, Wen-Han Hung, Tzyy-Ming Cheng, Meng-Yi Wu, Tsai-Fu Hsiao, Shu-Yen Chan
  • Patent number: 7927989
    Abstract: A transistor structure is formed by providing a semiconductor substrate and providing a gate above the semiconductor substrate. The gate is separated from the semiconductor substrate by a gate insulating layer. A source and a drain are provided adjacent the gate to define a transistor channel underlying the gate and separated from the gate by the gate insulating layer. A barrier layer is formed by applying nitrogen or carbon on opposing outer vertical sides of the transistor channel between the transistor channel and each of the source and the drain. In each of the nitrogen and the carbon embodiments, the vertical channel barrier retards diffusion of the source/drain dopant species into the transistor channel. There are methods for forming the transistor structure.
    Type: Grant
    Filed: July 27, 2007
    Date of Patent: April 19, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Da Zhang, Ning Liu, Mohamed S. Moosa
  • Patent number: 7923346
    Abstract: A method of making a FET includes forming a gate structure (18), then etching cavities on either side. A SiGe layer (22) is then deposited on the substrate (10) in the cavities, followed by an Si layer (24). A selective etch is then carried out to etch away the SiGe (22) except for a part of the layer under the gate structure (18), and oxide (28) is grown to fill the resulting gap. SiGe source and drains are then deposited in the cavities. The oxide (28) can reduce junction leakage current.
    Type: Grant
    Filed: December 7, 2006
    Date of Patent: April 12, 2011
    Assignee: NXP B.V.
    Inventors: Gilberto A. Curatola, Sebastien Nuttinck
  • Publication number: 20110081761
    Abstract: A method of manufacturing a semiconductor device may include, but is not limited to the following processes. A first recess is formed in a semiconductor substrate to define an active region on the semiconductor substrate. The active region includes a protruding portion of the semiconductor substrate surrounded by the first recess. The protruding portion has a sloped side surface. A first insulating film that fills the first recess is formed. A gate recess is formed in the active region to form a thin film portion that upwardly extends. The thin film portion is positioned between the gate recess and the first insulating film. The thin film portion is a part of the protruding portion. An upper part of the thin film portion is removed by wet-etching to adjust a height of the thin film portion.
    Type: Application
    Filed: October 1, 2010
    Publication date: April 7, 2011
    Applicant: ELPIDA MEMORY, INC.
    Inventors: KATSUMI KOGE, TERUYUKI MINE, YASUSHI YAMAZAKI
  • Patent number: 7915110
    Abstract: A MOS transistor made in monolithic form, vias contacting the gate and the source and drain regions of the transistor being formed on the other side of the channel region with respect to the gate.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: March 29, 2011
    Assignees: STMicroelectronics (Crolles 2) SAS, Commissariat à l'Energie Atomique
    Inventors: Philippe Coronel, Claire Gallon, Claire Benouillet-Beranger
  • Patent number: 7910445
    Abstract: A method of fabricating a semiconductor device according to one embodiment of the invention includes: forming a gate electrode on a semiconductor substrate through a gate insulating film; forming offset spacers on side surfaces of the gate electrode, respectively; etching the semiconductor substrate with a channel region below the offset spacers and the gate electrode being left by using the offset spacers as a mask; forming a first epitaxial layer made of a crystal having a lattice constant different from that of a crystal constituting the semiconductor substrate on the semiconductor substrate thus etched; etching at least a portion of the first epitaxial layer adjacent to the channel region to a predetermined depth from a surface of the first epitaxial layer toward the semiconductor substrate side; and forming a second epitaxial layer containing therein a conductivity type impurity on the first epitaxial layer thus etched.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: March 22, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroyuki Onoda
  • Patent number: 7892931
    Abstract: A method 300 for forming a transistor's drain extension 70 and recessed strained epi regions 150 with a single mask step 306. In an example embodiment, the method 300 may include forming a patterned photoresist layer 200 over a protection layer 190 in a NMOS region 50 and then etching exposed portions of the protection layer 190 in the PMOS region 60 to form extension sidewalls 210 on the transistors 30 in the PMOS region 60 plus a protective hardmask 220 over the NMOS region 50. The method 300 may further include forming the extension regions 70 for the PMOS region transistors 30, performing a recess etch 240 of active regions 230 of the PMOS region transistors 30, and forming the recessed strained epi regions 150.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: February 22, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Seetharaman Sridhar, Majid Mansoori
  • Patent number: 7888194
    Abstract: A method of fabricating a complementary metal oxide semiconductor (CMOS) device is provided. A first conductive type MOS transistor including a source/drain region using a semiconductor compound as major material is formed in a first region of a substrate. A second conductive type MOS transistor is formed in a second region of the substrate. Next, a pre-amorphous implantation (PAI) process is performed to amorphize a gate conductive layer of the second conductive type MOS transistor. Thereafter, a stress-transfer-scheme (STS) is formed on the substrate in the second region to generate a stress in the gate conductive layer. Afterwards, a rapid thermal annealing (RTA) process is performed to activate the dopants in the source/drain region. Then, the STS is removed.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: February 15, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Li-Shian Jeng, Cheng-Tung Huang, Shyh-Fann Ting, Wen-Han Hung, Kun-Hsien Lee, Meng-Yi Wu, Tzyy-Ming Cheng
  • Patent number: 7883979
    Abstract: A semiconductor device includes a substrate, a first device situated on the substrate, the first device including a source and a drain each situated extending a first depth within the substrate, and a second device situated on the substrate, the second device including a source and a drain each situated extending a second depth within the substrate, the second depth not equal to the first depth.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Wei Chen, Zhong Tang Xuan, Shui-Ming Cheng, Sheng-Da Liu
  • Patent number: 7883976
    Abstract: A semiconductor device and method for manufacturing the device with a planar halo profile is provided. The semiconductor device can be a MOSFET. The method of forming the structure includes forming an angled spacer adjacent a gate structure and implanting a halo implant at an angle to form a halo profile having low dopant concentration near a gate dielectric under the gate structure. The structure includes an underlying wafer or substrate and an angled gate spacer having an upper portion and an angled lower portion. The upper portion is structured to prevent halo dopants from penetrating an inversion layer of the structure. The structure further includes a low concentration halo dopant within a channel of a gate structure.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Jing Wang
  • Patent number: 7879675
    Abstract: A semiconductor device comprising a gate electrode formed on a gate dielectric layer formed on a semiconductor film. A pair of source/drain regions are formed adjacent the channel region on opposite sides of the gate electrode. The source and drain regions each comprise a semiconductor portion adjacent to and in contact with the semiconductor channel and a metal portion adjacent to and in contact with the semiconductor portion.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: February 1, 2011
    Assignee: Intel Corporation
    Inventors: Marko Radosavljevic, Suman Datta, Brian S. Doyle, Jack Kavalieros, Justin K. Brask, Mark L. Doczy, Amian Majumdar, Robert S. Chau
  • Patent number: 7875521
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: January 25, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Yosuke Shimamune, Hiroyuki Ohta, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Patent number: 7868386
    Abstract: A semiconductor device with improved source/drain junctions and methods for fabricating the device are disclosed. A preferred embodiment comprises a MOS transistor with a gate structure overlying a substrate, lightly doped source/drain regions formed in the substrate aligned to the gate structure, sidewall spacers formed on the sidewalls of the gate structure and overlying the lightly doped source/drain regions, deeper source/drain diffusions formed into the substrate aligned to the sidewall spacers and additional pocket implants of source/drain dopants formed at the boundary of the deeper source/drain diffusions and the substrate. In a preferred method, the additional pocket implants are formed using an angled ion implant with the angle being between 4 and 45 degrees from vertical. Additional embodiments include recesses formed in the source/drain regions and methods for forming the recesses.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: January 11, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kong-Beng Thei, Chung Long Cheng, Harry Chuang
  • Patent number: 7867865
    Abstract: Methods of fabricating semiconductor devices are provided. A substrate having active patterns and isolating layer patterns is prepared. Each of the isolating layer patterns has an upper surface higher than that of each of the active patterns. A spacer layer having a uniform thickness is formed on the substrate. The spacer layer is etched to form a spacer on a sidewall of each of the isolating layer patterns. A gate structure is formed on each of the active patterns. A selective epitaxial growth (SEG) process is performed on the active patterns having the gate structure to form isolated epitaxial layers that have upper surfaces higher than those of the isolating layer patterns, on the active patterns. Related semiconductor devices are also provided.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: January 11, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Bum Kim, Young-Pil Kim, Jung-Yun Won, Hion-Suck Baik, Jun-Ho Lee
  • Publication number: 20110003450
    Abstract: A method for forming a semiconductor device includes forming a gate pattern over a silicon substrate, forming gate spacers over both sidewalls of the gate pattern, forming a dummy gate spacer over a sidewall of each one of the gate spacers, forming a recess region having inclined sidewalls extending in a direction to a channel region under the gate pattern by recess-etching the silicon substrate, filling the recess region with an epitaxial film, which becomes a source region or a drain region, through a selective epitaxial growth process, and removing the dummy gate spacer.
    Type: Application
    Filed: December 23, 2009
    Publication date: January 6, 2011
    Inventors: Young-Ho LEE, Tae-Hang Ahn, Seung-Beom Baek, Jun-Hee Cho, Jeong-Seon Kim
  • Publication number: 20110001170
    Abstract: A semiconductor device according to the embodiment includes an element region provided with a transistor, a plurality of mixed crystal layers, a drain electrode and a source electrode, an element isolation layer and a dummy pattern. The mixed crystal layers are the layers made of a first atom composing the semiconductor substrate and a second atom having a lattice constant different from the lattice constant of the first atom and formed on both ends of a region, which becomes a channel of the transistor. The dummy pattern is a layer made of the same material as the mixed crystal layers and formed to extend on the surface of the semiconductor substrate and outside of the element region such that a major direction thereof is different from a <110> direction of the semiconductor.
    Type: Application
    Filed: June 24, 2010
    Publication date: January 6, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Takayuki Ito, Kunihiro Miyazaki, Kiyotaka Miyano
  • Patent number: 7858529
    Abstract: The method of the present invention includes providing a semiconductor substrate with a recess; performing a pre-cleaning step on the semiconductor substrate; and performing a first reduction step, a lateral etching step and a second reduction step on the semiconductor substrate. The MOS structure includes a semiconductor substrate, a gate structure on the semiconductor substrate, a pair of recesses with beak sections extending to and under the gate structure, and a strain material filling the recess. The recess inside the semiconductor substrate processed by the method including the lateral etching step forms a beak section.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: December 28, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chin-I Liao, Chin-Cheng Chien
  • Publication number: 20100320503
    Abstract: The present invention relates to semiconductor integrated circuits. More particularly, but not exclusively, the invention relates to strained channel complimentary metal oxide semiconductor (CMOS) transistor structures and fabrication methods thereof. A strained channel CMOS transistor structure comprises a source stressor region comprising a source extension stressor region; and a drain stressor region comprising a drain extension stressor region; wherein a strained channel region is formed between the source extension stressor region and the drain extension stressor region, a width of said channel region being defined by adjacent ends of said extension stressor regions.
    Type: Application
    Filed: August 9, 2010
    Publication date: December 23, 2010
    Applicants: CHARTERED SEMICONDUCTOR MANUFACTURING LTD., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yung Fu Chong, Zhijiong Luo, Judson Holt
  • Publication number: 20100320546
    Abstract: A semiconductor device includes a MOS transistor, a source electrode and a drain electrode on the MOS transistor each include a first carbon doped silicon layer including carbon at a first carbon concentration and phosphorus at a first phosphorus concentration and a second carbon doped silicon layer over the first silicon carbide layer, which includes phosphorus at a second phosphorus concentration higher than the first phosphorus concentration, and which includes carbon at a second carbon concentration less than or equal to the first carbon concentration.
    Type: Application
    Filed: May 19, 2010
    Publication date: December 23, 2010
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Naoyoshi Tamura
  • Patent number: 7851313
    Abstract: A semiconductor process for improved etch control in which an anisotropic selective etch is used to better control the shape and depth of trenches formed within a semiconductor material. The etchants exhibit preferential etching along at least one of the crystallographic directions, but exhibit an etch rate that is much slower in a second crystallographic direction. As such, one dimension of the etching process is time controlled, a second dimension of the etching process is self-aligned using sidewall spacers of the gate stack, and a third dimension of the etching process is inherently controlled by the selective etch phenomenon of the selective etchant along the second crystallographic direction. A deeper trench is implemented by first forming a lightly doped drain (LDD) region under the gate stack and using the sidewall spacers in combination with the LDD regions to deepen the trenches formed within the semiconductor material.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: December 14, 2010
    Assignee: Xilinx, Inc.
    Inventors: Yuhao Luo, Deepak Kumar Nayak
  • Publication number: 20100308379
    Abstract: A semiconductor device and method for fabricating a semiconductor device providing reduced short channel effects is disclosed. The method comprises providing a substrate comprising a first material; forming at least one gate stack over the substrate; forming one or more recesses in the substrate, wherein the one or more recesses define at least one source region and at least one drain region; and forming a pocket, a first layer comprising a second material, and a second layer comprising a third material in the one or more recesses, the pocket being disposed between the first layer and the substrate.
    Type: Application
    Filed: June 3, 2009
    Publication date: December 9, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ta-Ming Kuan, Chih-Hsin Ko, Wen-Chin Lee
  • Publication number: 20100304543
    Abstract: A semiconductor device includes a gate insulator and a gate electrode stacked on a substrate, a source/drain pattern which fills a recess region formed at opposite sides adjacent to the gate electrode, the source/drain pattern being made of silicon-germanium doped with dopants and a metal germanosilicide layer disposed on the source/drain pattern. The metal germanosilicide layer is electrically connected to the source/drain pattern. Moreover, a proportion of germanium amount to the sum of the germanium amount and silicon amount in the metal germanosilicide layer is lower than that of germanium amount to the sum of the germanium amount and silicon amount in the source/drain pattern.
    Type: Application
    Filed: August 6, 2010
    Publication date: December 2, 2010
    Inventors: Myung-Sun KIM, Hwa-Sung RHEE, Tetsuji UENO, Ho LEE, Ji-Hye YI
  • Patent number: 7843013
    Abstract: A semiconductor device includes: an isolation region formed in a semiconductor substrate; active regions surrounded by the isolation region and including p-type and n-type regions, respectively; an NMOS transistor formed in the active region including the p-type region and including an n-type gate electrode; a PMOS transistor formed in the active region including the n-type region and including a p-type gate electrode; and a p-type resistor formed on the isolation region. The p-type resistor has an internal stress greater than that of the p-type gate electrode.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: November 30, 2010
    Assignee: Panasonic Corporation
    Inventors: Ryo Nakagawa, Takayuki Yamada
  • Patent number: 7833869
    Abstract: Methods are provided for depositing materials in forming semiconductor devices on a substrate, such as metal oxide transistors. In one embodiment, the invention generally provides a method of processing a substrate including forming a gate dielectric on a substrate having a first conductivity, forming a gate electrode on the gate dielectric, forming a first pair of sidewall spacers along laterally opposite sidewalls of the gate electrode, etching a pair of source/drain region definitions on opposite sides of the electrode, depositing a silicon carbide material selectively in the source/drain region definitions, and implanting a dopant in the deposited silicon carbide material to form a source/drain region having a second conductivity.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Faran Nouri, Lori D. Washington, Victor Moroz
  • Publication number: 20100270598
    Abstract: A multi-step etching process produces trench openings in a silicon substrate that are immediately adjacent transistor structures formed over the substrate surface. The multi-step etching process is a Br-based etching operation with one step including nitrogen and a further step deficient of nitrogen. The etching process does not attack the transistor structure and forms an opening bounded by upper surfaces that extend downwardly from the substrate surface and are substantially vertical, and lower surfaces that bulge outwardly from the upper vertical sections and undercut the transistor structure. The aggressive undercut produces a desirable stress in the etched silicon surface. The openings are then filled with a suitable source/drain material and SSD transistors with desirable Idsat characteristics may then be formed.
    Type: Application
    Filed: April 23, 2009
    Publication date: October 28, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ta-Wei KAO, Shiang-Bau WANG, Ming-Jie HUANG, Chi-Hsi WU, Shu-Yuan KU
  • Patent number: 7816217
    Abstract: A method for manufacturing a semiconductor device includes providing a substrate comprising silicon, cleaning the substrate, performing a first low pressure chemical vapor deposition (LPCVD) process using a first source gas to selectively deposit a seeding layer of silicon (Si) over the substrate, performing a second LPCVD process using a second source gas to selectively deposit a first layer of silicon germanium (SiGe) over the layer of Si, the second source gas including hydrochloride at a first flow rate, and performing a third LPCVD process using a third source gas including hydrochloride at a second flow rate. The first flow rate is substantially lower than the second flow rate.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: October 19, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Li-Te S. Lin, Pang-Yen Tsai, Chih-Chien Chang, Tze-Liang Lee
  • Publication number: 20100221883
    Abstract: The concentration of a non-silicon species in a semiconductor alloy, such as a silicon/germanium alloy, may be increased after a selective epitaxial growth process by oxidizing a portion of the semiconductor alloy and removing the oxidized portion. During the oxidation, preferably the silicon species may react to form a silicon dioxide material while the germanium species may be driven into the remaining semiconductor alloy, thereby increasing the concentration thereof. Consequently, the threshold adjustment of sophisticated transistors may be accomplished with enhanced process uniformity on the basis of a given parameter setting for the epitaxial growth process while nevertheless providing a high degree of flexibility in adjusting the composition of the threshold adjusting material.
    Type: Application
    Filed: February 18, 2010
    Publication date: September 2, 2010
    Inventors: Stephan Kronholz, Vassilios Papageorgiou, Martin Trentzsch
  • Publication number: 20100210083
    Abstract: A method of manufacturing a semiconductor device includes forming a first cap film over gate electrodes formed in a first active region and a second active region, etching the first cap film over the first active region, forming a second cap film over the gate electrodes formed in the first active region and the second active region, etching the second cap film over the first active region, etching the first active region using the gate electrodes to form concave portions in the first active region, and embedding a semiconductor material in the concave portions.
    Type: Application
    Filed: January 20, 2010
    Publication date: August 19, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Masahiro Fukuda, Yosuke Shimamune, Yuka Kase
  • Patent number: 7772095
    Abstract: An integrated circuit (IC) with localized SiGe embedded in a substrate and a method of manufacturing the IC is provided. The method includes forming recesses in a substrate on each side of a gate structure and remote from a shallow trench isolation structure. The method further includes growing a stress material within the recesses such that the stress material is bounded on its side only by the substrate.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: August 10, 2010
    Assignee: International Business Machines Corporation
    Inventor: Thomas W Dyer
  • Patent number: 7772071
    Abstract: The present invention relates to semiconductor integrated circuits. More particularly, but not exclusively, the invention relates to strained channel complimentary metal oxide semiconductor (CMOS) transistor structures and fabrication methods thereof. A strained channel CMOS transistor structure comprises a source stressor region comprising a source extension stressor region; and a drain stressor region comprising a drain extension stressor region; wherein a strained channel region is formed between the source extension stressor region and the drain extension stressor region, a width of said channel region being defined by adjacent ends of said extension stressor regions.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: August 10, 2010
    Assignees: Chartered Semiconductor Manufacturing Ltd., International Business Machines Corporation
    Inventors: Yung Fu Chong, Zhijiong Luo, Judson Holt
  • Patent number: 7772074
    Abstract: Processes for non-selectively forming one or more conformal silicon-containing epitaxial layers on recess corners are disclosed. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the formation of a non-selective epitaxial layer involves exposing a substrate in a process chamber to deposition gases including a silicon source such as silane and a higher order silane, followed by heating the substrate to promote solid phase epitaxial growth.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: August 10, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Andrew Lam, Saurabh Chopra, Yihwan Kim
  • Publication number: 20100181598
    Abstract: Etch block layers having an etching rate smaller than that of a first semiconductor forming a semiconductor substrate are formed on the sidewalls of device isolation grooves by applying oblique ion implantation of Ox, N, or C to the semiconductor substrate including the first semiconductor. Embedded layers including a second semiconductor are selectively formed in recesses by epitaxial-growing the second semiconductor having a lattice constant larger than that of the first semiconductor in the recesses.
    Type: Application
    Filed: December 29, 2009
    Publication date: July 22, 2010
    Inventors: Tsutomu SATO, Jun Idebuchi, Yoshihisa Arie
  • Patent number: 7754544
    Abstract: A dynamic random access memory cell and a manufacturing method thereof are provided. First, a substrate on which a bottom oxide layer and a semiconductor layer are formed is provided. The semiconductor layer is formed on the bottom oxide layer. Next, a gate is formed on the semiconductor layer. Then, the semiconductor layer is patterned to expose a portion of the bottom oxide layer. Afterwards, an insulation layer is formed at the side walls of the semiconductor layer, wherein the height of the insulation layer is shorter than that of the semiconductor layer, so that a gap is formed between the tops of the insulation layer and the semiconductor layer. Further, a doping layer covering the insulation layer and having the same height with the semiconductor layer is formed on the bottom oxide layer. The doping layer contacts the side walls of the semiconductor layer via the gap.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: July 13, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Ta-Wei Lin, Wen-Jer Tsai
  • Patent number: 7754556
    Abstract: By recessing portions of the drain and source areas on the basis of a spacer structure, the subsequent implantation process for forming the deep drain and source regions may result in a moderately high dopant concentration extending down to the buried insulating layer of an SOI transistor. Furthermore, the spacer structure maintains a significant amount of a strained semiconductor alloy with its original thickness, thereby providing an efficient strain-inducing mechanism. By using sophisticated anneal techniques, undue lateral diffusion may be avoided, thereby allowing a reduction of the lateral width of the respective spacers and thus a reduction of the length of the transistor devices. Hence, enhanced charge carrier mobility in combination with reduced junction capacitance may be accomplished on the basis of reduced lateral dimensions.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: July 13, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Feudel, Markus Lenski, Andreas Gehring
  • Patent number: 7754555
    Abstract: By forming a stressed semiconductor material in a gate electrode, a biaxial tensile strain may be induced in the channel region, thereby significantly increasing the charge carrier mobility. This concept may be advantageously combined with additional strain-inducing sources, such as embedded strained semiconductor materials in the drain and source regions, thereby providing the potential for enhancing transistor performance without contributing to process complexity.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: July 13, 2010
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Andreas Gehring, Ralf Van Bentum, Markus Lenski
  • Patent number: 7755114
    Abstract: A semiconductor device includes a semiconductor substrate, a monocrystalline channel region of a first conductivity type formed on the surface of the semiconductor substrate, a gate electrode formed on the channel region via a gate insulating film, a pair of source/drain electrodes of a second conductivity type provided on both sides of the gate electrode, metallic compound layers formed on the source/drain electrodes, stress application layers located under the respective source and drain electrodes and each having a crystal structure whose intrinsic lattice spacing is different from lattice spacing inherent in a substance constituting the source/drain electrodes, and first buried insulating regions disposed under the respective stress application layers.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: July 13, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masakatsu Tsuchiaki
  • Patent number: 7750338
    Abstract: A semiconductor includes a semiconductor substrate, a gate stack on the semiconductor substrate, and a stressor having at least a portion in the semiconductor substrate and adjacent to the gate stack. The stressor includes a first stressor region and a second stressor region on the first stressor region, wherein the second stressor region extends laterally closer to a channel region underlying the gate stack than the first stressor region.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: July 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yin-Pin Wang
  • Publication number: 20100167486
    Abstract: A method of fabricating a transistor in a semiconductor device includes forming a gate structure over a substrate, forming a first trench by etching the substrate on either side of the gate structure to a first depth, ion-implanting dopants of a first conductivity type to form a source/drain region in the substrate on the side of the gate structure with the first trench, etching the substrate on the side of the gate structure with the first trench to a second depth larger than the first depth to form a second trench, and growing an epitaxial layer within the second trench.
    Type: Application
    Filed: June 26, 2009
    Publication date: July 1, 2010
    Inventor: Min-Jung Shin
  • Publication number: 20100164020
    Abstract: In a transistor, a strain-inducing semiconductor alloy, such as silicon/germanium, silicon/carbon and the like, may be positioned very close to the channel region by providing gradually shaped cavities which may then be filled with the strain-inducing semiconductor alloy. For this purpose, two or more “disposable” spacer elements of different etch behavior may be used in order to define different lateral offsets at different depths of the corresponding cavities. Consequently, enhanced uniformity and, thus, reduced transistor variability may be accomplished, even for sophisticated semiconductor devices.
    Type: Application
    Filed: December 17, 2009
    Publication date: July 1, 2010
    Inventors: Stephan Kronholz, Vassilios Papageorgiou, Gunda Beernink
  • Publication number: 20100155790
    Abstract: A structure and method of making an N-FET with a highly doped source/drain and strain booster are presented. The method provides a substrate with a Ge channel region. A gate dielectric is formed over the Ge channel and a gate electrode is formed over the gate dielectric. Sacrificial gate spacers are disposed on the sidewalls of the gate dielectric and gate electrode. Cavities are etched into the substrate extending under the sacrificial gate spacers. Si1?xGex source/drain regions are doped in-situ during formation, x<0.85.
    Type: Application
    Filed: December 22, 2008
    Publication date: June 24, 2010
    Inventors: Jing-Cheng Lin, Chen-Hua Yu
  • Publication number: 20100151648
    Abstract: A semiconductor device, such as a PMOS or an NMOS transistor, having a stressed channel region is provided. The semiconductor device is formed by recessing the source/drain regions after forming a gate stack. The substrate is removed under the gate stack. Thereafter, an epitaxial layer is formed under the gate stack and in the source/drain regions. The epitaxial layer may be doped in the source/drain regions. In an embodiment, a lower portion of the epitaxial layer and the epitaxial layer under the gate stack may be doped with a conductivity type opposite of the conductivity type of the source/drain regions. In another embodiment of the present invention, a lower portion of the epitaxial layer is left undoped.
    Type: Application
    Filed: February 26, 2010
    Publication date: June 17, 2010
    Applicant: Taiwan Semiconductor Manufacturing Company,Ltd.
    Inventors: Ming-Hua Yu, Tai-Chun Huang
  • Publication number: 20100148217
    Abstract: Embodiments of an apparatus and methods for providing a graded high germanium compound region are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: December 11, 2008
    Publication date: June 17, 2010
    Inventors: Danielle Simonelli, Anand Murthy
  • Patent number: 7723174
    Abstract: The present disclosure relates to semiconductor devices and a process sequence in which a semiconductor alloy, such as silicon/germanium, may be formed in an early manufacturing stage, wherein other performance-increasing mechanisms, such as a recessed drain and source configuration, possibly in combination with high-k dielectrics and metal gates, may be incorporated in an efficient manner while still maintaining a high degree of compatibility with conventional process techniques.
    Type: Grant
    Filed: May 12, 2009
    Date of Patent: May 25, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Andrew Waite, Andy Wei, Gunter Grasshoff
  • Patent number: 7718500
    Abstract: A structure and method for forming raised source/drain structures in a NFET device and embedded SiGe source/drains in a PFET device. We provide a NFET gate structure over a NFET region in a substrate and PFET gate structure over a PFET region. We provide NFET SDE regions adjacent to the NFET gate and provide PFET SDE regions adjacent to the PFET gate. We form recesses in the PFET region in the substrate adjacent to the PFET second spacers. We form a PFET embedded source/drain stressor in the recesses. We form a NFET S/D epitaxial Si layer over the NFET SDE regions and a PFET S/D epitaxial Si layer over PFET embedded source/drain stressor. The epitaxial Si layer over PFET embedded source/drain stressor is consumed in a subsequent salicide step to form a stable and low resistivity silicide over the PFET embedded source/drain stressor.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: May 18, 2010
    Assignees: Chartered Semiconductor Manufacturing, Ltd, International Business Machines Corporation (IBM), Samsung Electronics Co., Ltd.
    Inventors: Yung Fu Chong, Zhijiong Luo, Joo Chan Kim, Judson Robert Holt