Illumination Systems Or Details Patents (Class 355/67)
  • Patent number: 9323052
    Abstract: A lithography pupil shaping optical system and method for generating off-axis illumination mode. The invention is composed of illumination mode generation unit, rotatable wave plate, polarization beam splitter unit, ring I generation unit and ring II generation unit. Through selecting corresponding diffractive optical element and appropriate adjustment, this invention can generate various illumination modes including single ring illumination mode and double ring illumination mode. The intensity at pupil plane and the inner and outer diameters of the off-axis illumination mode can be adjusted continuously.
    Type: Grant
    Filed: April 28, 2013
    Date of Patent: April 26, 2016
    Assignee: Shanghai Institute of Optics and Fine Mechanics Chinese Academy of Sciences
    Inventors: Fang Zhang, Jing Zhu, Huijie Huang, Qiang Song, Baoxi Yang, Ming Chen, Aijun Zeng, Lihua Huang, Zhonghua Hu, Yanfen Xiao
  • Patent number: 9323157
    Abstract: A mirror assembly (332) for directing a beam (28) from an illumination source (26) to a reticle (36) includes a mirror (352) and a back plate (350). The mirror (352) includes a mirror body (352A) that defines a reflective first surface (352B) that directs the beam (28), a mirror mounting region (370), a mirror perimeter region (372) that encircles the mirror mounting region (370), and mirror slot (374) that separates the mirror perimeter region (372) from the mirror mounting region (370). The back plate (350) retains and engages the mirror mounting region (370) of the mirror (352) with the mirror perimeter region (372) spaced apart from the back plate (350). Further, the mirror body (352A) can include a second surface (352C) that is substantially opposite the first surface (352B), and the mirror mounting region (370) extends between the second surface (352C) to near the first surface (352B). Further, the mirror slot (374) extends from the second surface (352C) to near the first surface (352B).
    Type: Grant
    Filed: June 13, 2012
    Date of Patent: April 26, 2016
    Assignee: Nikon Corporation
    Inventors: Alton H. Phillips, Douglas C. Watson, Lorri L. Watson
  • Patent number: 9323039
    Abstract: A particle manipulation system and a projection device are provided. The projection device includes an image source and a projection lens. The image source provides an image beam. The projection lens is disposed on a light path of the image beam and includes a zoom lens set and a focusing lens set. The zoom lens set is disposed on the light path of the image beam from the image source and includes at least two lens groups disposed in sequence on the light path of the image beam. The focusing lens set is disposed on the light path of the image beam. The zoom lens set is disposed between the image source and the focusing lens set. A photoconductor chip is disposed on the light path of the image beam from the projection lens.
    Type: Grant
    Filed: November 6, 2013
    Date of Patent: April 26, 2016
    Assignee: Industrial Technology Research Institute
    Inventors: Hsiu-Hsiang Chen, Hsin-Hsiang Lo, Chun-Chuan Lin, Kuo-Yao Weng, Chi-Shen Chang, Jyh-Chern Chen
  • Patent number: 9316924
    Abstract: A CD-pitch dependency for a lithographic pattern printing process is related to the spectral intensity distribution of radiation used for projecting the pattern. A CD-pitch dependency can vary from one system to another. This can result in an iso-dense bias mismatch between systems. The invention addresses this problem by providing a lithographic apparatus including an illumination system for providing a projection beam of radiation, a projection system for projecting a patterned beam onto a target portion of a substrate, and a substrate table for holding the substrate, with a controller to provide an adjustment of the spectral distribution of radiant intensity of the projection beam. The adjustment of the spectral intensity distribution is based on data relating to an iso dense bias, and comprises a broadening of the spectral bandwidth or a change of shape of the spectral intensity distribution.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: April 19, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Robertus Cornelis Martinus De Kruif, Richard Joseph Bruls, Johannes Wilhelmus Maria Cornelis Teeuwsen, Erik Petrus Buurman
  • Patent number: 9316987
    Abstract: An image forming apparatus includes a photoconductor to form an electrostatic latent image, a special color developing device to develop the latent image with a special color toner other than standard color toners to form a special color toner image, an adhesion amount adjuster to adjust a special color toner adhesion amount by changing an application bias between the photoconductor and a developing sleeve of the special color developing device, a setting unit to set brightness of the special color toner, and a developing-performance adjuster to adjust a developing performance of the special color developing device according to a setting value of the brightness set by the setting unit. The developing-performance adjuster adjusts the developing performance of the special color developing device to a developing performance different from a developing performance set to each of standard color developing devices in adjustment of densities of the standard color toners.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: April 19, 2016
    Assignee: Ricoh Company, Ltd.
    Inventors: Jun Hitosugi, Takamasa Ozeki, Mutsuki Morinaga, Emiko Shiraishi, Wakana Itoh, Hitoshi Yamamoto, Takatsugu Komori, Tadashi Kasai, Makoto Yasuda, Kunio Hasegawa
  • Patent number: 9310604
    Abstract: An illumination optical apparatus includes a light splitting device which splits the beam into a plurality of beams with respective polarization states different from each other, a spatial light modulation device which is arranged on at least one of a first optical path in which a first beam out of the plurality of beams travels and a second optical path in which a second beam out of the plurality of beams travels, and which has a plurality of optical elements arranged two-dimensionally and driven individually and a control device which controls the spatial modulation device to combine the first beam and the second beam at least in part.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: April 12, 2016
    Assignee: NIKON CORPORATION
    Inventor: Toru Fujii
  • Patent number: 9310696
    Abstract: An immersion projection optical system having, for example, a catadioptric and off-axis structure, reduces the portion of an image space filled with liquid (immersion liquid). The projection optical system, which projects a reduced image of a first plane onto a second plane through the liquid, includes a refractive optical element (Lp) arranged nearest to the second plane. The refractive optical element includes a light emitting surface (Lpb) shaped to be substantially symmetric with respect to two axial directions (XY-axes) perpendicular to each other on the second plane. The light emitting surface has a central axis (Lpba) that substantially coincides with a central axis (40a) of a circle (40) corresponding to a circumference of a light entering surface (Lpa) of the refractive optical element. The central axis of the light emitting surface is decentered in one of the two axial directions (Y-axis) from an optical axis (AX).
    Type: Grant
    Filed: September 15, 2014
    Date of Patent: April 12, 2016
    Assignee: NIKON CORPORATION
    Inventors: Yasuhiro Omura, Takaya Okada, Hiroyuki Nagasaka
  • Patent number: 9304408
    Abstract: A projection objective for microlithography is used for imaging an object field in an object plane into an image field in an image plane. The projection objective comprises at least six mirrors of which at least one mirror has a freeform reflecting surface. The ratio between an overall length (T) of the projection objective and an object image shift (dOIS) can be smaller than 12. The image plane is the first field plane of the projection objective downstream of the object plane. The projection objective can have a plurality of mirrors, wherein the ratio between an overall length (T) and an object image shift (dOIS) is smaller than 2.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: April 5, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Johannes Zellner, Hans-Juergen Mann, Martin Endres
  • Patent number: 9304400
    Abstract: An illumination system for EUV microlithography includes an EUV light source which generates EUV illumination light with an etendue that is higher than 0.01 mm2. The EUV light source generates a sequence of EUV light pulses having a pulse sequence frequency. An illumination optics of the illumination system is used to guide the illumination light from the light source to an object field. At least one optical modulation component of the illumination system is preferably modulatable synchronously with the pulse sequence frequency. The result is an illumination system where a homogeneity of an object field illumination is improved.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: April 5, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Michael Layh, Ralf Stuetzle, Damian Fiolka, Martin Endres, Holger Weigand
  • Patent number: 9304406
    Abstract: A field facet mirror for an illumination optics of a projection exposure apparatus for EUV microlithography transmits a structure of an object arranged in an object field into an image field. The field facet mirror has a plurality of field facets with reflection surfaces. The arrangement of the field facets next to one another spans a base plane. Projections of the reflection surfaces of at least two of the field facets onto the base plane differ with respect to at least one of the following parameters: size, shape, orientation. A field facet mirror results which can ensure a uniform object field illumination with a simultaneously high EUV throughput.
    Type: Grant
    Filed: April 11, 2014
    Date of Patent: April 5, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Adrian Staicu, Martin Endres
  • Patent number: 9298111
    Abstract: An optical arrangement includes a multiplicity of optical elements and a carrier structure which carries the optical elements. The carrier structure is composed of at least two releasably interconnected modules. Each module is composed of at least one carrier structure subelement. A subhousing is produced by a multiplicity of carrier structure subelements and/or modules. The subhousing has a geometry that varies, at least in regions, in correspondence to a usable beam path in the projection exposure apparatus, the usable beam path being defined as an envelope of all light bundles which can propagate from all field points in a field plane to an image plane of the projection exposure apparatus. A projection exposure apparatus for EUV lithography includes such an optical arrangement.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: March 29, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Viktor Kulitzki, Bernhard Gellrich, Stefan Xalter, Yim-Bun Patrick Kwan, Peter Deufel, Andreas Wurmbrand
  • Patent number: 9291814
    Abstract: A spatial light modulator has a plurality of mirror elements each of which is controllable into a first state in which the mirror element reflects incident light with a change in a phase thereof by a first phase and a second state in which the mirror element reflects the incident light with a change in the phase thereof by a second phase 180° different from the first phase; and a boundary portion arranged between the mirror elements, which changes the phase of the incident light by a third phase substantially (90°+k·180°) (where k is an integer) different from the first phase. In projecting a pattern with the use of the spatial light modulator, an error caused in the pattern can be reduced even if the light quantity of light passing a gap region between the optical elements in the spatial light modulator is large.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: March 22, 2016
    Assignee: Nikon Corporation
    Inventors: Soichi Owa, Yoji Watanabe, Tomoharu Fujiwara
  • Patent number: 9291913
    Abstract: A pattern generator includes a mirror array plate having a mirror, at least one electrode plate disposed over the mirror array plate, a lens let disposed over the mirror, and at least one insulator layer sandwiched between the mirror array plate and the electrode plate. The electrode plate includes a first conducting layer and a second conducting layer. The lens let has a non-straight sidewall formed in the electrode plate. The pattern generator further includes at least one insulator sandwiched between two electrode plates. The non-straight sidewall can be a U-shaped sidewall or an L-shaped sidewall.
    Type: Grant
    Filed: April 6, 2015
    Date of Patent: March 22, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Tien-I Bao, Chih Wei Lu, Jaw-Jung Shin, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 9291751
    Abstract: An imaging optical unit (7) serves for imaging an object field (4) in an object plane (5) into an image field (8) in an image plane (9). The imaging optical unit (7) has a plurality of components (M1 to M6, GI) which guide imaging light (3). The imaging optical unit (7) is embodied as a pupil-obscured system. The imaging optical unit (7) has at least one mirror (GI) for grazing incidence of the imaging light (3). The result is an imaging optical unit having a handleable combination of low imaging aberrations and compact construction.
    Type: Grant
    Filed: June 17, 2013
    Date of Patent: March 22, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Juergen Rostalski, Sascha Migura, Thomas Schicketanz
  • Patent number: 9283640
    Abstract: The present invention relates to a laser processing apparatus for irradiating a printed wiring board 9 with a laser beam L so as to form holes 22 at a plurality of predetermined positions on the printed wiring board 9. The device includes, from upstream on an optical path of the laser beam: a second fly eye lens 6 that achieves the uniform intensity distribution of the laser beam L; a second condenser lens 7 that collimates the laser beam L emitted from the second fly eye lens 6; and a micro lens array 8 positioned in a manner facing the printed wiring board 9 and having a plurality of micro lenses 19 formed in a manner corresponding to the plurality of positions on the printed wiring board 9.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: March 15, 2016
    Assignee: V TECHNOLOGY CO., LTD.
    Inventor: Michinobu Mizumura
  • Patent number: 9280061
    Abstract: An illumination optical unit for EUV projection lithography serves for guiding illumination light towards an illumination field, in which a lithography mask can be arranged. The illumination optical unit has a first facet mirror having a plurality of individual mirrors. The latter predefine illumination channels for guiding illumination light partial beams towards the illumination field. A second facet mirror of the illumination optical unit is disposed downstream of the first facet mirror and has a plurality of facets. The latter respectively contribute to the imaging of a group of the individual mirrors of the first facet mirror into the object field via a group-mirror illumination channel. The latter comprises the individual-mirror illumination channels of the individual-mirror group. Images of the different individual-mirror groups are superimposed on one another in the object field via the assigned group-mirror illumination channels.
    Type: Grant
    Filed: August 21, 2014
    Date of Patent: March 8, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Michael Patra
  • Patent number: 9280055
    Abstract: An illumination system of a microlithographic projection exposure apparatus comprises a light source which is configured to produce projection light (PL), a pupil plane and a diffractive optical element that is arranged between the light source and the pupil plane such that an irradiance distribution of projection light (PL) in the pupil plane depends on the position of a field that is illuminated by the projection light (PL) on the diffractive optical element. The illumination system further comprises an optical imaging system that is arranged between the light source and the diffractive optical element. The optical imaging system ensures that changes of the direction and divergence of the projection light (PL) emitted by the light source have no substantial effect on the position and size of the field which is illuminated on the diffractive optical element by the projection light (PL).
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: March 8, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Michael Patra, Markus Deguenther
  • Patent number: 9280050
    Abstract: The present invention provides an exposure apparatus which exposes a substrate, the apparatus including an adjustment unit configured to adjust an oxygen concentration in a space between the projection optical system and the substrate, a measuring unit configured to measure an illuminance of light applied to the substrate, and a control unit configured to control the measuring unit so as to measure illuminances of light applied to the substrate a plurality of times during irradiation of the substrate with light from the projection optical system, configured to calculate, based on each of the illuminances measured the plurality of times, an oxygen concentration value corresponding to the measured illuminance on each time and configured to control the adjustment unit so as to set the oxygen concentration in the space to the calculated oxygen concentration value.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: March 8, 2016
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ryo Sasaki
  • Patent number: 9280041
    Abstract: A method of photolithography including coupling a first aperture to a lithography system, then performing a first illumination process to form a first pattern on a layer of a substrate using the first aperture, thereafter coupling a second aperture to the lithography system, and performing a second illumination process to form a second pattern on the layer of the substrate using the second aperture. The first aperture includes a first pair and a second pair of radiation-transmitting regions. The second aperture includes a second plate having a third pair and a fourth pair of radiation-transmitting regions.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: March 8, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Cheng Wang, Hung Chang Hsieh, Shih-Che Wang, Ping Chieh Wu, Wen-Chun Huang, Ming-Chang Wen
  • Patent number: 9274440
    Abstract: An arrangement for and a method of characterizing the polarization properties of an optical system, in particular an optical system of a microlithographic projection exposure apparatus. The arrangement includes at least one polarization state generator (130, 230, 330) which sets a defined polarization state of radiation incident on the optical system, and a polarization state detector (140, 240, 340) adapted to measure the exit polarization state of radiation issuing from the optical system, wherein the optical system is designed for a working wavelength of less than 15 nm, and wherein the polarization state generator and/or the polarization state detector are so designed that their polarization-optical action on an incident light beam is substantially constant over an angle spectrum of the light beam of at least 10°.
    Type: Grant
    Filed: July 27, 2012
    Date of Patent: March 1, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Uwe Hempelmann, Markus Mengel, Peter Huber
  • Patent number: 9268119
    Abstract: A zoom lens including a plurality of lens units, and two variable stops having an aperture diameter changing for zooming, the lens units being configured to change intervals between the respective neighboring lens units for zooming, the zoom lens having an F-number which is constant over an entire zoom range, the two variable stops including a first variable stop disposed on an object side, and a second variable stop disposed on an image side. A distance (Sw1) from the first variable stop to an image plane at a wide-angle end, a distance (Sw2) from the second variable stop to the image plane at the wide-angle end, and a distance (Tkw) from an exit pupil position to the image plane at the wide-angle end are each set appropriately.
    Type: Grant
    Filed: January 2, 2014
    Date of Patent: February 23, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Shigenobu Sugita
  • Patent number: 9261695
    Abstract: An illumination system of a microlithographic projection exposure apparatus comprises a spatial light modulator which is arranged between a light source and a pupil plane. The spatial light modulator includes an array of micromirrors or other light deflecting elements each being capable of individually deflecting impinging projection into various directions. An irradiance distribution on the mirror array or its envelope has, along a direction X an increasing slope and a decreasing slope. The control unit controls the mirrors in such a way that a first mirror, which is located at the increasing slope, and a second mirror, which is located at the decreasing slope, deflect impinging projection light so that it at least partly overlaps in the pupil plane. This ensures that the angular irradiance distribution at mask level is substantially independent from beam pointing fluctuations.
    Type: Grant
    Filed: September 12, 2013
    Date of Patent: February 16, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Michael Patra
  • Patent number: 9262579
    Abstract: The present invention relates to lithographic apparatuses and processes, and more particularly to multiple patterning lithography for printing target patterns beyond the limits of resolution of the lithographic apparatus. A method of splitting a pattern to be imaged onto a substrate via a lithographic process into a plurality of sub-patterns is disclosed, wherein the method comprises a splitting step being configured to be aware of requirements of a co-optimization between at least one of the sub-patterns and an optical setting of the lithography apparatus used for the lithographic process. Device characteristic optimization techniques, including intelligent pattern selection based on diffraction signature analysis, may be integrated into the multiple patterning process flow.
    Type: Grant
    Filed: August 26, 2014
    Date of Patent: February 16, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Luoqi Chen, Jun Ye, Hong Chen
  • Patent number: 9261793
    Abstract: A pupil filter can be designed for any combination of an illumination lens and for various types of lithographic features. The pupil filter can be placed at the pupil plane of a projection optics system. For any given illumination lens providing a pupil fill within a pupil lens, a lithographic mask can be designed for the purpose of printing a one-dimensional array of line and space features or for the purpose of printing a two-dimensional array of contact holes by blocking areas, for each pixel in the pupil fill, the corresponding pixel and diffraction order pixels in the pupil lens unless +1 or ?1 diffraction order pixels fall within the area of the numerical aperture. For the purpose of frequency doubling, the pupil fill area is blocked.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Gregory R. McIntyre, Martin Burkhardt
  • Patent number: 9250541
    Abstract: The present invention provides an exposure apparatus which expose a substrate, the apparatus including an illumination optical system configured to illuminate a mask using light from a light source, a projection optical system configured to irradiate the substrate with light from a pattern on the mask, an adjustment unit configured to adjust an oxygen concentration in a space between the projection optical system and the substrate, an obtaining unit configured to obtain data of illuminance of light applied to the substrate, and a control unit configured to control the adjustment unit so as to set the oxygen concentration in the space to a predetermined concentration value based on the data of illuminance obtained by the obtaining unit.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: February 2, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Ryo Sasaki
  • Patent number: 9243901
    Abstract: Fringe projection autofocus systems are provided with variable pitch diffraction gratings or multiple diffraction gratings so that a reference beam and a measurement beam propagate along a common path. Alternatively, an input beam can be directed to a diffraction grating so that the selected diffraction orders propagate along a common path. In some examples, distinct spectral bands are used for reference and measurement beams.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: January 26, 2016
    Assignee: Nikon Corporation
    Inventors: Eric Peter Goodwin, Daniel G. Smith
  • Patent number: 9239229
    Abstract: Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation.
    Type: Grant
    Filed: April 24, 2015
    Date of Patent: January 19, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Stefan Xalter, Yim-Bun Patrick Kwan, Andras G. Major, Manfred Maul, Johannes Eisenmenger, Damian Fiolka, Jan Horn, Markus Deguenther, Florian Bach, Michael Patra, Johannes Wangler, Michael Layh
  • Patent number: 9235134
    Abstract: Photolithographic apparatus and methods are disclosed. One such apparatus includes an optical path configured to provide a first diffraction pattern in a portion of an optical system and to provide a second diffraction pattern to the portion of the optical system after providing the first diffraction pattern. Meanwhile, one such method includes providing a first diffraction pattern onto a portion of an optical system, wherein a semiconductor article is imaged using the first diffraction pattern. A second diffraction pattern is also provided onto the portion of the optical system, but the second diffraction pattern is not used to image the semiconductor article.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: January 12, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Yuan He, Kaveri Jain, Lijing Gou, Zishu Zhang, Anton deVilliers, Michael Hyatt, Jianming Zhou, Scott Light, Dan Millward
  • Patent number: 9235137
    Abstract: An illumination optical unit includes a collector mirror which produces a polarization distribution that is applied to the first faceted optical element during the operation of the illumination optical unit. There are at least two first facet elements to which radiation having a differing polarization is applied. The first faceted optical element has at least one first state in which the normal vectors of the reflective surfaces of the first facet elements are selected so that a first predetermined polarization distribution results at the location of the object field during the operation of the illumination optical unit.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: January 12, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Damian Fiolka, Ralf Stuetzle
  • Patent number: 9229336
    Abstract: A method to determine an improved configuration for a lithography apparatus, a computer-readable medium for use in carrying out the method, and a lithography apparatus are disclosed. In an example, the method involves intelligent selection of one or more device features to measure and use in a routine to optimize the configuration of the lithography apparatus. According to an example, the method comprises imposing a target error profile to one or more device features for which measurement data is not sufficient, for example in a regions where a selected device feature is sparsely distributed.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: January 5, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Jozef Maria Finders, Bernardo Kastrup, Sander De Putter
  • Patent number: 9213223
    Abstract: An image projecting device of the present invention includes an image display section for displaying an image, a projecting optical system for outputting the image along an optical path and projecting the image onto a projection surface, and a lens unit provided on any position on the optical path. The lens unit includes a lens section having a lens movable along at least two or more directions in a plane vertical to an optical axis of the projecting optical system, and a driving section having an actuator section for moving the lens section, and the actuator section is disposed so that a thrust line passes through a centroid of the lens section. The driving section moves the lens so as to tilt an optical axis of light emitted from the image display section, and move a display position of pixels on the projection surface composing the image displayed by the image display section.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: December 15, 2015
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventor: Ken Mashitani
  • Patent number: 9207544
    Abstract: An aerial image is generated by imaging an object with the use of an imaging optic, the object being illuminated by an illuminating optic by using a light source emitting illuminating radiation, the illuminating optic having a pupil plane. A first data set is defined to represent the object, a second data set is defined to represent the intensity distribution of the illuminating radiation in the pupil plane of the light source, and the aerial image is calculated from the first and the second data set, in which the resolution of the second data set varies according to the intensity or according to the location of the pupil plane. A microscope includes an imaging optic for imaging an object, a detector for capturing an aerial image of the object, and a processing unit for simulating aerial images generated by the microscope.
    Type: Grant
    Filed: June 13, 2012
    Date of Patent: December 8, 2015
    Assignee: Carl Zeiss SMS GmbH
    Inventor: Ulrich Matejka
  • Patent number: 9188484
    Abstract: Provided are an apparatus and method for calibrating an extreme ultraviolet (EUV) spectrometer in which a wavelength of a spectrum of EUV light used for EUV lithography and mask inspection technology can be measured accurately.
    Type: Grant
    Filed: March 26, 2014
    Date of Patent: November 17, 2015
    Assignee: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Young Min Jhon, Yong Soo Kim, Min Ah Seo, Jae Hun Kim, Min Chul Park, Sun Ho Kim, Deok Ha Woo, Seok Lee, Taik Jin Lee, Myung Suk Chun, Woon Jo Cho
  • Patent number: 9190436
    Abstract: Provided is an imaging apparatus having a plurality of light receiving parts for each one microlens in order for capturing a three-dimensional image, while being capable of obtaining a more natural image when creating a two-dimensional image. The imaging apparatus includes: a microlens array (2) having a plurality of microlenses (20) regularly aligned two-dimensionally; an imaging lens for imaging light from a subject onto the microlens array (2); and a plurality of light receiving parts (22L, 22R) disposed for each of the plurality of microlenses (20). The plurality of light receiving parts (22L, 22R) associated with each microlens (20) receive the light from the subject that has been imaged onto the microlens and subject the light to photoelectric conversion. The imaging lens has a pupil which is disposed as being out of conjugation with a light receiving plane of the light receiving parts (22L, 22R).
    Type: Grant
    Filed: November 6, 2014
    Date of Patent: November 17, 2015
    Assignee: OLYMPUS CORPORATION
    Inventor: Kazuaki Murayama
  • Patent number: 9188874
    Abstract: In a scanned-spot-array lithography system, a modulated array of radiant-energy source spots is imaged by a projection lens onto a printing surface, which is scanned in synchronization with the spot modulation to print a synthesized, high-resolution raster image. Similarly, in a scanned-spot-array microscopy system, an array of radiant-energy source spots is imaged by a projection lens onto an inspection surface, and radiation reflected from or transmitted through the image spots is collected and detected to acquire a synthesized, high-resolution raster image of the surface. In either case, the spot-generation optics can be configured to counterbalance and neutralize imperfect imaging characteristics of the projection lens, enabling perfectly flat-field, distortion-free, and aberration-free point imaging of the entire spot array.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: November 17, 2015
    Inventor: Kenneth C. Johnson
  • Patent number: 9179534
    Abstract: An extreme ultraviolet light source apparatus for supplying extreme ultraviolet light to a processing unit for performing processing by using the extreme ultraviolet light. The extreme ultraviolet light source apparatus includes: a chamber in which the extreme ultraviolet light to be supplied to the processing unit is generated; a collector mirror for collecting the extreme ultraviolet light generated in the chamber to output the extreme ultraviolet light to the processing unit; and an optical path connection module for defining a route of the extreme ultraviolet light between the chamber and the processing unit and isolating the route of the extreme ultraviolet light from outside.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: November 3, 2015
    Assignee: Gigaphoton Inc.
    Inventors: Yukio Watanabe, Osamu Wakabayashi, Miwa Igarashi
  • Patent number: 9175953
    Abstract: A measurement apparatus comprises: a light source; a first optical system configured to reflect a certain component of a light beam emitted by the light source by a final surface thereof and transmit a remaining component of the light beam; a reflecting surface configured to reflect the remaining component; an optical member configured to generate a first shearing interference fringe formed by the certain component, and a second shearing interference fringe formed by the remaining component; an image sensing unit configured to simultaneously sense the first and second shearing interference fringes generated by the optical member; and an arithmetic unit configured to calculate, a wavefront aberration of the first optical system and a wavefront aberration of the first optical system and an optical system to be measured, using data on the first and second shearing interference fringes, thereby calculating a wavefront aberration of the optical system to be measured.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: November 3, 2015
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Yoshiyuki Kuramoto
  • Patent number: 9164394
    Abstract: An imaging optical system for microlithography is used to illuminate an object field. The illumination optical system has a first transmission optical system for guiding illumination light proceeding from a light source. An illumination presetting facet mirror with a plurality of illumination presetting facets is arranged downstream of the first transmission optical system. The illumination presetting facet mirror produces a preset illumination of the object field via an edge shape, which can be illuminated, of the illumination presetting facet mirror and individual tilting angles of the illumination presetting facets. An arrangement of the first transmission optical system and the illumination presetting facet mirror is such that telecentric illumination of the object field results.
    Type: Grant
    Filed: August 24, 2011
    Date of Patent: October 20, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Martin Endres
  • Patent number: 9164402
    Abstract: A projection objective of a microlithographic projection exposure apparatus has a wavefront correction device including a first refractive optical element and a second refractive optical element. The first refractive optical element includes a first optical material having, for an operating wavelength of the apparatus, an index of refraction that decreases with increasing temperature. The second refractive optical element includes a second optical material having, for an operating wavelength of the apparatus, an index of refraction that increases with increasing temperature. In a correction mode of the correction device, a first heating device produces a non-uniform and variable first temperature distribution in the first optical material, and a second heating device produces a non-uniform and variable second temperature distribution in the second optical material.
    Type: Grant
    Filed: July 10, 2014
    Date of Patent: October 20, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Holger Walter, Boris Bittner
  • Patent number: 9158194
    Abstract: An approach is used to estimate and correct the overlay variation as function of offset for each measurement. A target formed on a substrate includes periodic gratings. The substrate is illuminated with a circular spot on the substrate with a size larger than each grating. Radiation scattered by each grating is detected in a dark-field scatterometer to obtain measurement signals. The measurement signals are used to calculate overlay. The dependence (slope) of the overlay as a function of position in the illumination spot is determined. An estimated value of the overlay at a nominal position such as the illumination spot's center can be calculated, correcting for variation in the overlay as a function of the target's position in the illumination spot. This compensates for the effect of the position error in the wafer stage movement, and the resulting non-centered position of the target in the illumination spot.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: October 13, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Armand Eugene Albert Koolen, Henricus Petrus Maria Pellemans, Maurits Van Der Schaar, Peter Clement Paul Vanoppen, Michael Kubis
  • Patent number: 9134624
    Abstract: The present disclosure provides a lithography machine and a scanning and exposing method thereof. According to the scanning and exposing method, the scanning and exposing process for a whole wafer includes two alternately circulated motions: a scanning and exposing motion and a stepping motion; and the scanning and exposing motion is a sinusoidal motion rather than a rapid-acceleration uniform-speed rapid-deceleration scanning and exposing motion in the conventional techniques. During the scanning of a single exposure shot, it may begin to scan the exposure shot once a wafer stage and a reticle stage begin to accelerate from zero speed. And the scanning and exposing may not end until the speeds of the wafer stage and the reticle decrease to zero. Therefore, the effective time of the scanning and exposing in the scanning and exposing motion is greatly increased and the production efficiency of the wafer is improved.
    Type: Grant
    Filed: December 28, 2012
    Date of Patent: September 15, 2015
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL CORP
    Inventors: Qiang Wu, Jing'an Hao, Chang Liu, Xin Yao, Tianhui Li, Qiang Shu, Yiming Gu
  • Patent number: 9134619
    Abstract: An exposure apparatus includes a light shielding plate that is arranged on a plane conjugate to a substrate plane and shields against light to prevent the light; a first driving unit that rotationally drives the light shielding plate about an axis parallel to an optical axis of the illumination system; a second driving unit that linearly drives the light shielding plate within a plane perpendicular to the optical axis; a detecting unit that detects a light-shielding position; and a control unit that stores a light-shielding position at reference time point and prior to and after change of the light shielding plate, and calculates a variation amount of the light-shielding position based on a light-shielding position detected by the detecting unit at any time point after the light shielding plate is changed, a light-shielding position at the reference time point, and the difference between stored light-shielding positions.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: September 15, 2015
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Atsushi Suzuki, Shinichi Hirano
  • Patent number: 9128389
    Abstract: The disclosure relates to a method for modifying a polarization distribution in a microlithographic projection exposure apparatus, and to a microlithographic projection exposure apparatus. The projection exposure apparatus has an illumination device and a projection objective. The illumination device has an optical axis and a correction arrangement having a lambda/4 plate arranged rotatably about the optical axis and/or a lambda/2 plate arranged rotatably about the optical axis. The method includes determining a polarization distribution in a predetermined plane of the projection exposure apparatus, and rotating the lambda/4 plate and/or the lambda/2 plate about the optical axis so that a local variation of the polarization distribution is reduced after rotation in comparison with the state before the rotating.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: September 8, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Damian Fiolka
  • Patent number: 9122171
    Abstract: Exposure apparatus includes photomasks on which a mask pattern having the same shape as that of an exposure pattern exposed onto a surface of a TFT substrate held on a stage is formed, lens assemblies in which unit lens groups in each of which a plurality of convex lenses are arranged in a normal direction to the photomasks so that same-size erect images of mask patterns formed on the photomasks can be formed on the surface of the TFT substrate are arranged in a plane parallel with the photomasks and the surface of the TFT substrate held on the stage, and moving device that moves the lens assemblies in a plane parallel with the masks and the surface of TFT substrate held on the stage.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: September 1, 2015
    Assignee: V TECHNOLOGY CO., LTD.
    Inventors: Michinobu Mizumura, Kazushige Hashimoto, Makoto Hatanaka
  • Patent number: 9110382
    Abstract: A lithographic simulation process is described, where each source point in a preselected group of source points at a pupil plane of an illumination source is represented by one or more variable parameters, wherein at least some of the variable parameters characterize a polarization state at the source point. One or both of the preselected group of source points in the illumination source and a representation of the design layout are iteratively reconfigured based on a computed gradient of a cost function with respect to the one or more variable parameters until a desired lithographic response is obtained, wherein the cost function comprises an aerial image intensity of a representation of the design layout projected using the preselected group of source points. Physical hardware to implement the source polarization variation is also described.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: August 18, 2015
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Luoqi Chen
  • Patent number: 9110378
    Abstract: An illumination optical system for projection lithography has an optical assembly for guiding illumination light to an object field to be illuminated in an object plane. The illumination optical system divides a bundle of the illumination light into a plurality of part bundles, which are allocated to various illumination directions of the object field illumination. The illumination optical system is configured in such a way that at least some of the part bundles are superimposed on one another in a first superimposition plane according to a first superimposition specification and in a second superimposition plane, which is spaced apart from the first superimposition plane, according to a second superimposition specification. The result is an illumination optical system, in which an influencing and/or a monitoring of an illumination intensity distribution over the object field is made possible, as far as possible without influencing an illumination angle distribution.
    Type: Grant
    Filed: May 14, 2013
    Date of Patent: August 18, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Jens Ossmann, Martin Endres, Ralf Stuetzle
  • Patent number: 9091941
    Abstract: Method of predicting a distribution of light in an illumination pupil of an illumination system includes identifying component(s) of the illumination system the adjustment of which affects this distribution and simulating the distribution based on a point spread function defined in part by the identified components. The point spread function has functional relationship with configurable setting of the illumination settings.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: July 28, 2015
    Assignee: NIKON CORPORATION
    Inventors: Daniel Gene Smith, Donis G. Flagello
  • Patent number: 9081294
    Abstract: A method for measuring an angularly resolved intensity distribution in a reticle plane (24) of a projection exposure apparatus (10). The apparatus includes an illumination system (16), irradiating a reticle (22) arranged in the reticle plane (24) and having a first pupil plane (20). All planes of the projection exposure apparatus which are conjugate thereto are further pupil planes, and the reticle plane (24) and all planes which are conjugate thereto are field planes. The method includes: arranging a spatially resolving detection module (44) in the region of one of the field planes (24, 30) such that the detection module is at a smaller distance from this field plane than from the closest pupil plane (20), radiating electromagnetic radiation (21) onto an optical module (42) from the illumination system, and determining an angularly resolved intensity distribution of the radiation from a signal recorded by the detection module.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: July 14, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Wolfgang Emer, Dirk Hellweg
  • Patent number: 9081310
    Abstract: An optical system of a microlithographic projection exposure apparatus includes a wavefront correction device which has a plurality of fluid outlet apertures. The apertures are arranged so that fluid flows emerging from the outlet apertures enter a space through which projection light propagates during operation of the apparatus. A temperature controller sets the temperature of the fluid flows individually for each fluid flow. The temperature distribution is determined such that optical path length differences caused by the temperature distribution correct wavefront deformations.
    Type: Grant
    Filed: September 6, 2012
    Date of Patent: July 14, 2015
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Matthias Exler, Ulrich Loering, Toralf Gruner, Holger Walter
  • Patent number: 9069251
    Abstract: A scanning exposure apparatus using microlens arrays, includes a plurality of microlens arrays is arrayed in a direction perpendicular to a scanning direction above a substrate to be exposed, and the microlens arrays are supported on a support substrate. The microlens arrays can be supported on a support substrate so as to be capable of being inclined from a direction parallel to the exposure substrate, relative to the direction in which the microlens arrays are arranged. The inclination angles of these microlens arrays are configured so as to gradually increase or decrease along the arrangement direction.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: June 30, 2015
    Assignee: V TECHNOLOGY CO., LTD.
    Inventor: Michinobu Mizumura