Illumination Systems Or Details Patents (Class 355/67)
  • Patent number: 9625827
    Abstract: An imaging optical unit for EUV projection lithography serves to image an object field into an image field. Mirrors guide imaging light from the object field to the image field. An aperture stop is tilted by at least 1° in relation to a normal plane which is perpendicular to an optical axis. The aperture stop has a circular stop contour. In mutually perpendicular planes, a deviation of a numerical aperture NAx measured in one plane from a numerical aperture NAy measured in the other plane is less than 0.003, averaged over the field points of the image field. What emerges is an imaging optical unit, in which homogenization of an image-side numerical aperture is ensured so that an unchanging high structure resolution in the image plane is made possible, independently of an orientation of a plane of incidence of the imaging light in the image field.
    Type: Grant
    Filed: November 19, 2015
    Date of Patent: April 18, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Johannes Ruoff, Josef Rapp
  • Patent number: 9612540
    Abstract: A method of operating a microlithographic apparatus comprises the steps of providing an illumination system comprising an array of tiltable mirrors, wherein a light irradiance distribution on the array varies by at least 50% along a first line; specifying a scan integrated target angular light distribution and a target light energy for a point moving through an illumination field along a second line that extends parallel to a scan direction and is an image of the first line; determining a group of those mirrors through which the first line extends; determining tilt angles of the mirrors of the group such that a real angular light distribution and a real light energy for the point approximate the respective target values; producing the illumination field by forming an image of the array on a mask; and imaging a portion of the mask on a surface while the mask moves along the scan direction.
    Type: Grant
    Filed: February 23, 2015
    Date of Patent: April 4, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Michael Patra
  • Patent number: 9612537
    Abstract: An EUV projection lithography illumination optical unit guides illumination light toward an object field, the illumination optical unit comprising. The unit includes: a first facet mirror comprising a plurality of first monolithic facets; and a second facet mirror downstream of the first facet mirror in a beam path of the illumination light, the second facet mirror comprising a plurality of second facets, each second facet being configured to contribute to imaging a corresponding first monolithic facet of the first facet mirror into the object field via an illumination channel. Individual parts of the first monolithic facets are configured so that illumination light is guided from the individual parts of the first monolithic facets toward different target locations on the corresponding second facet of the second facet mirror.
    Type: Grant
    Filed: January 21, 2016
    Date of Patent: April 4, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Michael Patra
  • Patent number: 9606445
    Abstract: There is disclosed a lithographic apparatus provided with a spectral purity filter which may be provided in one or more of the following locations: (a) in the illumination system, (b) adjacent the patterning device, either a static location in the radiation beam or fixed for movement with the patterning device, (c) in the projection system, and (d) adjacent the substrate table. The spectral purity filter is preferably a membrane formed of polysilicon, a multilayer material, a carbon nanotube material or graphene. The membrane may be provided with a protective capping layer, and/or a thin metal transparent layer.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: March 28, 2017
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Arthur Winfried Eduardus Minnaert, Marcel Johannus Elisabeth Hubertus Muitjens, Andrei Mikhailovich Yakunin, Luigi Scaccabarozzi, Hans Joerg Mallmann, Kurstat Bal, Carlo Cornelis Maria Luijten, Han-Kwang Nienhuys, Alexander Marinus Arnoldus Huijberts, Paulus Albertus Maria Gasseling, Pedro Julian Rizo Diago, Maarten Van Kampen, Nicolaas Aldegonda Jan Maria Van Aerle
  • Patent number: 9588438
    Abstract: Embodiments of the present invention provide methods for optimizing a lithographic projection apparatus including optimizing projection optics therein. The current embodiments include several flows including optimizing a source, a mask, and the projection optics and various sequential and iterative optimization steps combining any of the projection optics, mask and source. The projection optics is sometimes broadly referred to as “lens”, and therefore the optimization process may be termed source mask lens optimization (SMLO). SMLO may be desirable over existing source mask optimization process (SMO) or other optimization processes that do not include projection optics optimization, partially because including the projection optics in the optimization may lead to a larger process window by introducing a plurality of adjustable characteristics of the projection optics.
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: March 7, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Duan-Fu Hsu, Luoqi Chen, Hanying Feng, Rafael C. Howell, Xinjian Zhou, Yi-Fan Chen
  • Patent number: 9581889
    Abstract: An extreme ultraviolet (EUV) mask blank production system includes: a substrate handling vacuum chamber for creating a vacuum; a substrate handling platform, in the vacuum, for transporting an ultra-low expansion substrate loaded in the substrate handling vacuum chamber; and multiple sub-chambers, accessed by the substrate handling platform, for forming an EUV mask blank includes: a first sub-chamber for forming a multi-layer stack, above the ultra-low expansion substrate, for reflecting an extreme ultraviolet (EUV) light; and a second sub-chamber for forming a bi-layer absorber, formed above the multi-layer stack, for absorbing the EUV light at a wavelength of 13.5 nm provides a reflectivity of less than 1.9%.
    Type: Grant
    Filed: February 11, 2015
    Date of Patent: February 28, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Vinayak Vishwanath Hassan, Majeed A. Foad, Cara Beasley, Ralf Hofmann
  • Patent number: 9582617
    Abstract: A simulation device and simulation program are provided that can be suitably applied to a manufacturing process including a plurality of processing steps. The simulation device is provided for simulating the manufacturing process including a first processing step using a first mask, and a second processing step using a second mask. The simulation device includes first obtaining means for obtaining a first intensity distribution generated over a substrate of interest for processing by the first mask, second obtaining means for obtaining a second intensity distribution generated over the substrate by the second mask, and revising means for revising an intensity of a region in the first intensity distribution to be processed by the second mask, to a value regarded as a region not to be processed, based on the second intensity distribution.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: February 28, 2017
    Assignee: Renesas Electronics Corporation
    Inventor: Hironobu Taoka
  • Patent number: 9575414
    Abstract: Illumination systems for microlithographic projection exposure apparatus, as well as related systems, components and methods are disclosed. In some embodiments, an illumination system includes one or more scattering structures and an optical integrator that produces a plurality of secondary light sources.
    Type: Grant
    Filed: November 19, 2015
    Date of Patent: February 21, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Johannes Wangler, Heiko Siekmann, Kenneth Weible, Ralf Scharnweber, Manfred Maul, Markus Deguenther, Michael Layh, Axel Scholz, Uwe Spengler, Reinhard Voelkel
  • Patent number: 9563129
    Abstract: An EUV lithography system has an EUV beam path and a monitor beam path. The EUV beam path includes a mirror system having plurality of mirror elements, the orientations of which can be changed. The monitor beam path includes a monitor radiation source, a screen and a spatially resolving detector. The mirror system is arranged in the monitor beam path between the monitor radiation source and the screen.
    Type: Grant
    Filed: March 23, 2015
    Date of Patent: February 7, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Johannes Wangler, Johannes Eisenmenger, Markus Deguenther, Michael Patra
  • Patent number: 9564590
    Abstract: A mask comprises a mask frame defining an opening; a plurality of support bars installed in the opening of the mask frame; a plurality of movable bars, each of which is installed over a corresponding one of the plurality of support bars and movable relative to the corresponding support bar, wherein the plurality of support bars and the plurality of movable bars are arranged to divide the opening into a plurality of mask holes; and a plurality of actuators installed between the plurality of movable bars and the corresponding support bars and configured to move the movable bars relative to the plurality of support bars.
    Type: Grant
    Filed: July 20, 2015
    Date of Patent: February 7, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Tae An Seo, Bo Ik Park, Ju Chan Park, Young Gug Seol, Pil Suk Lee, Jin Hwan Choi
  • Patent number: 9551941
    Abstract: The invention relates to an illumination system for an EUV lithography device, comprising: a first facet mirror having facet elements that reflect EUV radiation, and a second facet mirror having facet elements for reflecting the EUV radiation reflected by the first facet mirror onto an illumination field. At least one of the facet elements of the first facet mirror or of the second facet mirror is designed as a diffractive optical element for diffracting the EUV radiation. In particular, at least one of the facet elements of the second facet mirror is designed as a diffractive optical element for illuminating only a part of the illumination field. The invention also relates to an EUV lithography device comprising such an illumination system, and to a facet mirror comprising at least one diffractive facet element.
    Type: Grant
    Filed: July 10, 2015
    Date of Patent: January 24, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Johannes Ruoff, Ingo Saenger, Joerg Zimmermann, Daniel Kraehmer, Christoph Hennerkes, Frank Schlesener
  • Patent number: 9551938
    Abstract: Disclosed is a light source optimizing method wherein: a light source shape obtained as the result of SMO is set as a target, the SMO being an optimizing calculation method for optimizing a mask pattern and illumination light source, a spatial light modulator is controlled such that a deviation from the target is within an acceptable range, and the shape of the illumination light source is set; the image of the pattern obtained as the results of the SMO is formed on a wafer, using illumination light emitted from the illumination light source having the set light source shape, an OPE is evaluated as image-forming performance using the detection results obtained by detecting the image of the pattern thus formed; and the light source shape is optimized.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: January 24, 2017
    Assignee: NIKON CORPORATION
    Inventors: Tomoyuki Matsuyama, Naonori Kita
  • Patent number: 9539622
    Abstract: Apparatus for and method of cleaning an electrically conductive surface of an optical element in a system for generating extreme ultraviolet radiation in which electrically conductive surface is used as an electrode for generating a plasma which cleans the surface.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: January 10, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Alexander I. Ershov
  • Patent number: 9535341
    Abstract: A method is described that includes illuminating a patterning device pattern with a radiation beam having a symmetric illumination mode, the patterning device pattern comprising a first pattern feature that substantially diffracts radiation of the radiation beam, and a second pattern feature that does not substantially diffract radiation of the radiation beam, introducing an asymmetry, relative to an optical axis, in the substantially diffracted radiation using a phase modulation element, illuminating a radiation beam receiving element with radiation emanating from the phase modulation element to form a receiving element pattern that is related to the patterning device pattern, the receiving element pattern having first and second receiving element pattern features related to the first and second pattern features respectively, and determining information at least indicative of a focal property from positional information regarding the relative positions of the first and second receiving element pattern featur
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: January 3, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Laurentius Cornelius De Winter, Jozef Maria Finders
  • Patent number: 9529283
    Abstract: A spectral purity filter is configured to transmit extreme ultraviolet (EUV) radiation and deflect or absorb non-EUV secondary radiation. In an embodiment, the spectral purity filter includes a body of material highly transmissive of EUV radiation and a layer of material highly reflective of non-EUV secondary radiation located on a radiation incident side of the body. In an embodiment, the spectral purity filter includes a body of material highly transmissive of EUV radiation and a layer of high emissivity material on an end of the body.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: December 27, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Andrei Mikhailovich Yakunin, Vadim Yevgenyevich Banine, Johannes Hubertus Josephina Moors, Leonid Aizikovitch Sjmaenok
  • Patent number: 9523918
    Abstract: There is provided an illumination optical system for illuminating an illumination objective surface. The illumination optical system includes a first spatial light modulator which has a plurality of optical elements arranged on a first plane, a polarizing member which is arranged in an optical path on an illumination objective surface side with respect to the first plane and which gives a polarization state change to a first light beam passes through a first area in a plane intersecting an optical axis of the illumination optical system, the polarization state change being different from a polarization state change given to a second light beam passes through a second area in the intersecting plane, and a second spatial light modulator which has a plurality of optical elements controlled individually and arranged on a second plane, and which variably forms a light intensity distribution on an illumination pupil of the illumination optical system.
    Type: Grant
    Filed: November 25, 2011
    Date of Patent: December 20, 2016
    Assignee: NIKON CORPORATION
    Inventors: Norio Miyake, Kinya Kato
  • Patent number: 9523922
    Abstract: An illumination system of a microlithographic projection exposure apparatus includes a primary light source, a system pupil surface and a mirror array. The mirror array is arranged between the primary light source and the system pupil surface. The mirror array includes a plurality of adaptive mirror elements. Each mirror element includes a mirror support and a reflective coating. Each mirror element is configured to direct light produced by the primary light source towards the system pupil surface. The mirror elements can be tiltably mounted with respect to a support structure. The mirror elements include structures having a different coefficient of thermal expansion and being fixedly attached to one another. A temperature control device is configured to variably modify the temperature distribution within the structures to change the shape of the mirror elements.
    Type: Grant
    Filed: June 25, 2014
    Date of Patent: December 20, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Florian Bach, Daniel Benz, Severin Waldis, Armin Werber, Berndt Warm
  • Patent number: 9519841
    Abstract: An attached matter detector includes a light source configured to irradiate light toward a plate-like transparent member from one surface thereof, an imaging device configured to image reflection light by attached matter on a surface of the plate-like transparent member, a memory configured to previously store flare information obtained by the image device by turning on the light source in a state without having disturbance light and the attached matter on the surface of the plate-like transparent member, and a difference information-obtaining device configured to obtain difference information between a light-up image as an image obtained by the imaging device with the turned-on light source and a light-out image as an image obtained by the imaging device with the turned-off light source, wherein the attached matter on the surface of the plate-like transparent member is detected based on the difference information and the flare information stored in the memory.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: December 13, 2016
    Assignee: RICOH COMPANY, LTD.
    Inventors: Taroh Sawaki, Hideaki Hirai, Ryosuke Kasahara, Hiroyoshi Sekiguchi
  • Patent number: 9519228
    Abstract: Provided are a substrate holding apparatus and a method of using the substrate holding apparatus. According to an aspect of the present invention, there is provided a substrate holding apparatus comprising a stage on which a substrate is placed, and at least one lift bar which separates the substrate from the stage by raising the substrate or placing the substrate on the stage by lowering the substrate. The lift bar comprises a body and a head. The head is connected to an end of the body, contacts the substrate, and is formed of a porous material.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: December 13, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventor: Sung Hune Yoo
  • Patent number: 9519225
    Abstract: The present disclosure provides a lithography system comprising a radiation source and an exposure tool including a plurality of exposure columns densely packed in a first direction. Each exposure column includes an exposure area configured to pass the radiation source. The system also includes a wafer carrier configured to secure and move one or more wafers along a second direction that is perpendicular to the first direction, so that the one or more wafers are exposed by the exposure tool to form patterns along the second direction. The one or more wafers are covered with resist layer and aligned in the second direction on the wafer carrier.
    Type: Grant
    Filed: December 8, 2015
    Date of Patent: December 13, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Burn Jeng Lin, Shy-Jay Lin, Jaw-Jung Shin, Wen-Chuan Wang
  • Patent number: 9516731
    Abstract: A power supply for providing HV power to a lithography illumination source comprising a HV power source arranged to provide the HV power, a HV power transmission line arranged to transmit the HV energy from the HV power source and one or more RF terminations provided on one or more of an input end of the transmission line or an output end of the transmission line. The one or more RF terminations terminate the transmission line to reduce reflection of RF energy at the end of the transmission line.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: December 6, 2016
    Assignees: ASML NETHERLANDS B.V., USHIO DENKI KABUSHIKI KAISHA
    Inventors: Martinus Jacobus Coenen, Guido Friedrich Siemons
  • Patent number: 9513569
    Abstract: An optical collector (15) for collecting extreme ultraviolet radiation or EUV light generated at a central EUV production site comprises a reflective shell (25). To cope with thermal loading of the collector and avoid deformations, the reflective shell (25) is mounted on a support structure (24), such that a cooling channel (29) is established between the back side of the reflective shell (25) and the support structure (24), the thickness of the reflective shell (25) is substantially reduced, such that the convective heat transfer between the back side of the reflective shell (25) and a cooling medium (26) flowing through the cooling channel (29) dominates the process of removing heat from the reflective shell (25) with respect to heat conduction, and a cooling circuit (33) is connected to the cooling channel (29); to supply a cooling medium (26) to the cooling channel (29) with a controlled coolant pressure and/or mass flow.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: December 6, 2016
    Assignee: ETH Zürich
    Inventors: Franz Dieterich, Reza Abhari, Andrea Giovannini, Vipluv Aga
  • Patent number: 9507269
    Abstract: An illumination optical unit for projection lithography has a first polarization mirror device to reflect and polarize of illumination light. A second mirror device, which is disposed downstream of the polarization mirror device reflects an illumination light beam. At least one drive device is operatively connected to at least one of the two mirror devices. The two mirror devices are displaceable relative to one another via the drive device between a first relative position, which leads to a first beam geometry of the illumination light beam after reflection at the second mirror device, and a second relative position, which leads to a second beam geometry of the illumination light beam after reflection at the second mirror device, which is different from the first beam geometry. This results in a flexible predefinition of different illumination geometries, in particular of different illumination geometries with rotationally symmetrical illumination.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: November 29, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Christoph Hennerkes, Ingo Saenger, Joerg Zimmermann, Johannes Ruoff, Martin Meier, Frank Schlesener
  • Patent number: 9509986
    Abstract: A measurement machine includes an optical lens and a spectral confocal sensor. An electronic device adjusts a zoom ratio of the lens to be a maximum ratio, and calculates X, Y, Z coordinate differences between the lens center and the sensor center. The electronic device calibrates the X, Y coordinate differences at least twice, to obtain calibrated X, Y coordinate differences. The X, Y differences are replaced by the calibrated X, Y coordinate differences when the calibrated X, Y coordinate differences satisfy first predetermined requirements. The electronic device further calibrates the Z coordinate difference at least twice to obtain a calibrated Z coordinate difference. The Z coordinate difference is replaced by the calibrated Z coordinate difference when the calibrated Z coordinate difference satisfies second predetermined requirements.
    Type: Grant
    Filed: October 23, 2013
    Date of Patent: November 29, 2016
    Assignees: HONG FU JIN PRECISION INDUSTRY (ShenZhen) CO., LTD., HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Chih-Kuang Chang, Li Jiang, Xiao-Guang Xue, Na Yu, Zhi-Jun Zou
  • Patent number: 9482842
    Abstract: Thermally compensated optical assembly comprising a monolithic mount which is divided by slits into a mounting ring and at least three elastic links which are connected to an optical element. The elastic links compensate the thermal expansion differences between the mounting ring and the optical element through deformation. The temperature-dependent reaction forces brought about by the deformation are compensated. For this purpose, the quantity of compensation elements is equal to the quantity of links. The compensation elements comprise in each instance an expansion body and a spring element.
    Type: Grant
    Filed: September 25, 2014
    Date of Patent: November 1, 2016
    Assignee: JENOPTIK Optical Systems GmbH
    Inventor: Torsten Erbe
  • Patent number: 9482968
    Abstract: An objective for a projection exposure apparatus includes a metrology stage arranged on the frame of the objective. The objective includes at least one optical component, an objective mount for mounting the optical component, and a positioning device for holding at least one measuring device. The positioning device is connected to the objective mount and has at least one degree of freedom of displacement for displacing the measuring device.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: November 1, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Markus Goeppert, Helmut Haidner, Rolf Freimann, Christoph Striebel
  • Patent number: 9482983
    Abstract: An apparatus includes: an image holding element; an exposure device that exposes the image holding element to light; a holding member that holds the exposure device such that the exposure device is movable relative to an apparatus body between an exposure position, at which the exposure device exposes the image holding element to light, and a retracted position, at which the exposure device is located away from the image holding element; an open/close member that has a rotary shaft rotatably supported by the apparatus body and that opens and closes the apparatus body; a moving member that moves the exposure device between the exposure position and the retracted position via the holding member along with opening/closing movement of the open/close member; and a guide member formed with a guide surface that guides movement of the holding member and a holding portion that holds the holding member at the exposure position.
    Type: Grant
    Filed: November 19, 2015
    Date of Patent: November 1, 2016
    Assignee: FUJI XEROX CO., LTD.
    Inventor: Hiroyuki Kono
  • Patent number: 9482958
    Abstract: Provided is a method of forming a pattern, including (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) developing the exposed film with a developer containing an organic solvent, wherein the developer contains an alcohol compound (X) at a content of 0 to less than 500 ppm based on the total mass of the developer.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: November 1, 2016
    Assignee: FUJIFILM Corporation
    Inventors: Yuichiro Enomoto, Shinji Tarutani, Sou Kamimura, Kaoru Iwato, Keita Kato, Kana Fujii
  • Patent number: 9477025
    Abstract: A light source includes: a generation device configured to generate a first EUV beam having a polarization that is a superimposition of two linearly polarized waves with directions of polarization that are perpendicular to each other and that have a phase difference with respect to each other that is non-zero and not an integral multiple of ?; and a polarization setting device configured to interact with the first EUV beam to provide a second EUV beam by exerting an effect on the first EUV beam that is linearly polarizing with respect to the direction of polarization to set a polarization of the second EUV beam.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: October 25, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Ingo Saenger
  • Patent number: 9470981
    Abstract: Optics, such as, for example, microlithographic projection exposure apparatus illumination optics, as well as related systems, methods, components and devices are disclosed.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: October 18, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Alexander Kohl
  • Patent number: 9470393
    Abstract: Disclosed is an optical plate and an illuminating member, and particularly an optical plate for reducing UGR, which has a plurality of micro lens patterns formed on a base substrate, a fill factor of the micro lens patterns being in range of 0.5 to 1.0.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: October 18, 2016
    Assignee: LG INNOTEK CO., LTD.
    Inventors: Beom Sun Hong, Dong Mug Seong, Jun Phill Eom, Dong Hyun Lee
  • Patent number: 9442387
    Abstract: A process of an extreme ultraviolet lithography is disclosed. The process includes receiving an extreme ultraviolet (EUV) mask, an EUV radiation source and an illuminator. The process also includes exposing the EUV mask by a radiation, originating from the EUV radiation source and directed by the illuminator, with a less-than-three-degree chief ray angle of incidence at the object side (CRAO). The process further includes removing most of the non-diffracted light and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a target.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: September 13, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Anthony Yen
  • Patent number: 9423299
    Abstract: An exposure apparatus is provided with a light source for emitting pulse light and exposes a substrate via to the pulse light an original. Further, the exposure apparatus comprises a detection unit for detecting the light quantity of the pulse light and a controller for controlling the light source and the detection unit. Here, the controller is configured to execute a first calibration process for obtaining a relation between a control input to the light source and a light quantity of a pulse light from the light source, based on light quantities of a plurality of pulse lights detected by the detector by causing the light source to emit the plurality of the pulse lights with a plurality of the control input, in parallel with execution of a second calibration process which is different from the first calibration process and executed using the plurality of pulse lights.
    Type: Grant
    Filed: October 31, 2014
    Date of Patent: August 23, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Kazuki Yamamoto, Tadahiro Asaishi
  • Patent number: 9423679
    Abstract: A projection unit may include a reflector-lamp arrangement which is designed to emit light during operation, wherein the light radiates toward an aperture, wherein a setting unit is provided, with which a light intensity distribution of the emitted light is adjustable relative to the aperture, wherein the light intensity distribution is adjusted depending on a period of operation of the lamp, and/or a measuring unit is provided, with which at least part of the light intensity distribution is detectable, wherein the light intensity distribution is adjusted relative to the aperture depending on a change in the light intensity distribution.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: August 23, 2016
    Assignee: OSRAM GmbH
    Inventor: Norbert Magg
  • Patent number: 9410899
    Abstract: An illumination apparatus according to embodiments includes: a light source generating laser light; a rotational phase plate having a plurality of randomly arranged stepped regions, the rotational phase plate transmitting the laser light to give a phase change to the laser light; and an integrator including a plurality of lenses arranged in an array, the laser light transmitted through the rotational phase plate being incident on the integrator, an allowable angle of incidence for the laser light of the lenses being set at a maximum value of or larger than an angle of diffraction of a first order of the laser light at the rotational phase plate.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: August 9, 2016
    Assignee: NuFlare Technology, Inc.
    Inventors: Toshiaki Otaki, Riki Ogawa
  • Patent number: 9411240
    Abstract: A method for compensating a slit illumination uniformity includes executing a first lithography operation and recording an initial slit uniformity profile; executing a slit uniformity optimization process and recording an optimized slit uniformity profile; and offsetting the optimized slit uniformity profile to obtain a working slit uniformity profile such that the working slit uniformity profile has a mean value closest to that of the initial slit uniformity profile.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: August 9, 2016
    Assignee: UNITED MICROELETRONICS CORPORATION
    Inventor: Zhong-Gui Zhang
  • Patent number: 9395632
    Abstract: The present disclosure relates to a method and apparatus for mitigating printable native defects in an extreme ultra violet (EUV) mask substrate. In some embodiments, the method is performed by providing an EUV mask substrate having a multi-layer coating disposed over a low thermal expansion material. The sizes of one or more native defects within the EUV mask substrate are measured and printable native defects having a measured size that violates one or more sizing thresholds are identified. A position at which a patterned absorber material is to be formed over the multi-layer coating is determined. The position minimizes a number printable native defects that interact with EUV radiation during an EUV lithography process. By mitigating a number of printable native defects violating the one or more sizing thresholds, the process window of an EUV reticle formed from the EUV mask substrate is improved.
    Type: Grant
    Filed: August 8, 2014
    Date of Patent: July 19, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Kai Huang, Hsun-Chuan Shih, Yuan-Chih Chu
  • Patent number: 9389519
    Abstract: A measuring apparatus for measuring a pupil transmittance distribution of an optical system to be examined has a diffraction grating mounted on a first surface in an optical Fourier transform relation with a pupil of the optical system, an illumination optical system which makes a beam inclined relative to the optical axis, incident to a predetermined position on the first surface so that a +first-order diffracted beam, generated through the diffraction grating, passes through a first pupil partial region in an effective region of the pupil and so that a ?first-order diffracted beam, generated through the diffraction grating, passes through a second pupil partial region, and a measuring unit which measures an intensity of the +first-order diffracted beam, and an intensity of the ?first-order diffracted beam, and determines a ratio of a pupil transmittance in the first and second pupil partial region regions.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: July 12, 2016
    Assignee: NIKON CORPORATION
    Inventor: Naonori Kita
  • Patent number: 9377608
    Abstract: An imaging optical unit serves for imaging an object field into an image field. An imaging beam path (AS) between the object field and the image field is subdivided into a plurality of partial imaging beam paths (TAS). The imaging optical unit is embodied such that the partial imaging beam paths (TAS) run between the object field and the image field in a manner completely separated from one another and guided by optical components (M1 to M6) of the imaging optical unit, that is to say that nowhere in the beam path between the object field and the image field do the partial imaging beam paths (TAS) impinge on identical regions of beam-guiding surfaces of the imaging optical unit. This results in an imaging optical unit in which a resolution capability, particularly in the production of micro- or nanostructured semiconductor components, is increased.
    Type: Grant
    Filed: November 14, 2013
    Date of Patent: June 28, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Alexander Wolf
  • Patent number: 9378309
    Abstract: Described herein are methods for matching the characteristics of a lithographic projection apparatus to a reference lithographic projection apparatus, where the matching includes optimizing illumination source and projection optics characteristics. The projection optics can be used to shape wavefront in the lithographic projection apparatus. According to the embodiments herein, the methods can be accelerated by using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).
    Type: Grant
    Filed: June 3, 2014
    Date of Patent: June 28, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Hanying Feng, Yu Cao, Jun Ye
  • Patent number: 9366969
    Abstract: System and method for enhancing optical lithography methodology for hole patterning in semiconductor fabrication are described. In one embodiment, a photolithography system comprises an illumination system for conditioning light from a light source, the illumination system producing a three-pore illumination pattern; a reticle comprising at least a portion of a pattern to be imaged onto a substrate, wherein the three-pore illumination pattern produced by the illumination system is projected through the reticle; and a projection lens disposed between the reticle and the substrate.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: June 14, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Chu Liu, Kuei Shun Chen, Norman Chen, Vencent Chang, Chin-Hsiang Lin
  • Patent number: 9366970
    Abstract: An illumination optical system which illuminates a surface to be illuminated on the basis of light from a light source has a first optical path in which a diffractive optical element can be arranged at a first position thereof; a second optical path in which a spatial light modulator with a plurality of optical elements arrayed two-dimensionally and controlled individually can be arranged at a second position thereof; and a third optical path which is an optical path of light having passed via at least one of the first optical path and the second optical path and in which a distribution forming optical system is arranged. The distribution forming optical system forms a predetermined light intensity distribution on an illumination pupil located in the third optical path, based on the light having passed via at least one of the first and second optical paths.
    Type: Grant
    Filed: August 11, 2014
    Date of Patent: June 14, 2016
    Assignee: NIKON CORPORATION
    Inventor: Osamu Tanitsu
  • Patent number: 9366977
    Abstract: The disclosure relates to an optical correction arrangement including at least one optical element and at least one irradiation mechanism for the targeted local irradiation of the optical element with electromagnetic heating radiation for the targeted local heating of the optical element. The optical correction arrangement also includes a mechanism for dissipating the thermal energy introduced into the optical element by the at least one irradiation mechanism. The disclosure furthermore relates to a projection exposure apparatus for semiconductor lithography including an optical correction arrangement according to the disclosure.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: June 14, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Sascha Bleidistel, Olaf Conradi, Arif Kazi
  • Patent number: 9360775
    Abstract: The disclosure relates to a method of manufacturing a projection objective, and a projection objective, such as a projection objective configured to be used in a microlithographic process. The method can include defining an initial design for the projection objective and optimizing the design using a merit function. The method can be used in the manufacturing of projection objectives which may be used in a microlithographic process of manufacturing miniaturized devices.
    Type: Grant
    Filed: February 2, 2012
    Date of Patent: June 7, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Heiko Feldmann, Toralf Gruner, Alexander Epple
  • Patent number: 9360763
    Abstract: An immersion projection optical system having, for example, a catadioptric and off-axis structure, reduces the portion of an image space filled with liquid (immersion liquid). The projection optical system, which projects a reduced image of a first plane onto a second plane through the liquid, includes a refractive optical element (Lp) arranged nearest to the second plane. The refractive optical element includes a light emitting surface (Lpb) shaped to be substantially symmetric with respect to two axial directions (XY-axes) perpendicular to each other on the second plane. The light emitting surface has a central axis (Lpba) that substantially coincides with a central axis (40a) of a circle (40) corresponding to a circumference of a light entering surface (Lpa) of the refractive optical element. The central axis of the light emitting surface is decentered in one of the two axial directions (Y-axis) from an optical axis (AX).
    Type: Grant
    Filed: September 15, 2014
    Date of Patent: June 7, 2016
    Assignee: NIKON CORPORATION
    Inventors: Yasuhiro Omura, Takaya Okada, Hiroyuki Nagasaka
  • Patent number: 9354502
    Abstract: An apparatus or method to calculate target dose values of a plurality of radiation beams at a plurality of different times in order to form a desired dose pattern on a target, each target dose value defining the dose distribution of a spot exposure formed by the radiation beam to which the target dose value is applied, wherein a nominal position of a characteristic point in the dose distribution of each of the spot exposures lies at a point of a spot exposure grid, and to provide target dose values at the resolution of the spot exposure grid by calculating target dose values at grid points on a lower resolution grid, the lower resolution grid having a resolution lower than the spot exposure grid, and for each of the calculated target dose values, deriving a target dose value at each of a plurality of points in the spot exposure grid.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: May 31, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Erik Roelof Loopstra, Martinus Hendricus Hoeks
  • Patent number: 9353824
    Abstract: An active damping system for use in connection with a vibration isolation system includes an intermediate mass between a base and an isolated payload. The intermediate mass is supported by at least one support element which also supports at least substantially all of the static forces of the isolated payload. An actuator dampens and isolates dynamic forces acting on the intermediate mass from the isolated payload. The active damping system also includes a payload support element and a passive damping element, both of which are coupled at one end to the payload platform and at an opposite end to the intermediate mass. A sensor is affixed to the intermediate mass to generate a feedback signal to a processor coupled to the actuator.
    Type: Grant
    Filed: November 24, 2014
    Date of Patent: May 31, 2016
    Assignee: Technical Manufacturing Corporation
    Inventors: Emil Kraner, Antonio Lopes
  • Patent number: 9341955
    Abstract: An optical module includes an aperture device and a support structure supporting the aperture device. The aperture device defines an aperture edge and an aperture plane. The aperture edge is adapted to define a geometry of a light beam passing the aperture device along an optical axis. The support structure is adapted to hold the aperture device in a defined manner when the aperture plane is inclined with respect to a horizontal plane. A temperature distribution prevails within the aperture device and at least one of the aperture device and the support structure is adapted to maintain at least one of a relative position of the aperture edge with respect to the optical axis and a geometry of the aperture edge substantially unaltered upon an introduction of a thermal energy into the aperture device, where the thermal energy being adapted to cause an alteration in the temperature distribution.
    Type: Grant
    Filed: February 13, 2014
    Date of Patent: May 17, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hermann Bieg, Uy-Liem Nguyen
  • Patent number: 9338655
    Abstract: There are provided measures for access control of a relay node with a closed subscriber group, said measures exemplarily comprising retrieval of setting information relating to a closed subscriber group of a base station function of a relay node, said relay node requesting access to a relay-enhanced cellular system via a donor base station, acquisition of setting information relating to a closed subscriber group of the donor base station, and execution of access control of the base station function of the relay node based on the setting information relating to the closed subscriber groups of the base station function of the relay node and the donor base station.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: May 10, 2016
    Assignee: Nokia Solutions and Networks Oy
    Inventors: Shun Liang Zhang, Simone Redana, Bernhard Raaf, Lei Du
  • Patent number: 9329499
    Abstract: The disclosure provides an arrangement for an optical device including a component of the optical device and a support structure supporting the component. The support structure includes at least one locking device connected to the component and including a first fixation device and an associated second fixation device. The first fixation device and the second fixation device are adapted to be, in a movable state, movable with respect to each other along a first degree of freedom and a second degree of freedom into a final position. The first fixation device and the second fixation device are further adapted to be, in a locked state, fixed in the final position by at least one locking device contacting the first fixation device and the second fixation device.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: May 3, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Thomas Bischoff, Joachim Feucht, Jochen Wieland, Stefan Mueller