Inspection Of Semiconductor Device Or Printed Circuit Board Patents (Class 382/145)
  • Patent number: 10621718
    Abstract: Methods and systems for determining boundaries of patterned features formed on a specimen from an unresolved image of the specimen are provided. One system includes computer subsystem(s) configured for comparing a difference image in which patterned feature(s) are unresolved to different simulated images. The different simulated images are generated by simulating difference images generated for the patterned feature(s) formed on the specimen with different perturbations, respectively. The computer subsystem(s) are configured for, based on the comparing, assigning an amplitude to each of the different perturbations. The computer subsystem(s) are further configured for determining one or more boundaries of the patterned feature(s) formed on the specimen by applying the different perturbations to one or more designed boundaries of the patterned feature(s) with the assigned amplitudes.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: April 14, 2020
    Assignee: KLA-Tencor Corp.
    Inventors: Soren Konecky, Robert Danen
  • Patent number: 10621717
    Abstract: A system includes one or more processors configured to detect perimeter edges of one or more rotor blades of a turbine assembly as depicted in a series of image frames using boundary analysis performed on the image frames. The one or more processors are configured to identify a set of the image frames as key frames based on positional offsets between the perimeter edges that are detected in the image frames and a reference blade pose such that the key frames are able to be inspected for objects-of-interest without inspecting the image frames that are not the key frames.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: April 14, 2020
    Assignee: General Electric Compnay
    Inventors: Wei Wang, Longyin Wen, Xiao Bian, Arpit Jain, David Scott Diwinsky, Bernard Bewlay
  • Patent number: 10620135
    Abstract: Methods and systems for identifying a source of nuisance defects on a wafer are provided. One method includes detecting defects on a wafer by applying a hot threshold to output generated for the wafer by a detector of an inspection subsystem such that at least a majority of the detected defects include nuisance defects and determining locations of the detected defects with respect to design information for the wafer. In addition, the method includes stacking information for the detected defects based on the determined locations relative to a structure on the wafer such that the detected defects having the same locations relative to the structure are coincident with each other in results of the stacking. The method further includes identifying a source of the nuisance defects based on the results of the stacking.
    Type: Grant
    Filed: April 6, 2018
    Date of Patent: April 14, 2020
    Assignee: KLA-Tencor Corp.
    Inventor: Bjorn Brauer
  • Patent number: 10613037
    Abstract: In a first defect candidate area detected on the basis of a difference between a value of each pixel in a picked-up image and a value of a corresponding pixel in a reference image and a second defect candidate area detected on the basis of a ratio between a value of each pixel in the picked-up image and a value of a corresponding pixel in the reference image, an overlapping area is detected as a defect area. It is thereby possible to suppress detection of a false defect and detect a defect with high accuracy. In a preferable defect detection part, a shaking comparison part detects a defect candidate area on the basis of a difference in the pixel value between the picked-up image and the reference image, and a false information reducing part limits pixels to be used for obtaining the above ratio to those included in the defect candidate area.
    Type: Grant
    Filed: April 28, 2015
    Date of Patent: April 7, 2020
    Assignee: SCREEN HOLDINGS CO., LTD.
    Inventors: Yasushi Nagata, Yasushi Sasa
  • Patent number: 10593062
    Abstract: A defect observation apparatus includes a storage unit configured to store defect information about defects detected by an external inspection apparatus; a first imaging unit configured to capture an image of a defect using a first imaging condition and a second imaging condition; a control unit configured to correct positional information on the defect using the image captured with the first imaging unit; and a second imaging unit configured to capture an image of the defect based on the corrected positional information.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: March 17, 2020
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yuko Otani, Kazuo Aoki, Yohei Minekawa
  • Patent number: 10591534
    Abstract: An electronic component transport apparatus includes: an inspection region in which an inspection portion that inspects the electronic component can be disposed; a supply transport region to which the electronic component before the inspection by the inspection portion is transported; a collect transport region to which the electronic component after the inspection by the inspection portion is transported; and an imaging portion which is capable of imaging the first mounting member or the second mounting member, in which it is possible to determine at least one of the presence or absence of the electronic component and a posture of the electronic component in the first mounting member or the second mounting member based on an imaging result obtained by imaging by the imaging portion, and to notify an operator of a determination result.
    Type: Grant
    Filed: December 26, 2017
    Date of Patent: March 17, 2020
    Assignee: Seiko Epson Corporation
    Inventor: Noriaki Kotani
  • Patent number: 10583608
    Abstract: A lithography apparatus is provided. The lithography apparatus includes a control unit that obtains information of an expected processing count to be processed in a lot by a transfer unit, obtains information of an accumulated processing count, in the transfer unit, of each of originals in a original storage unit, and preferentially selects, from the originals, an original whose process enable count before the accumulated processing count reaches a predetermined count is not smaller than the expected processing count.
    Type: Grant
    Filed: February 2, 2016
    Date of Patent: March 10, 2020
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Shinichi Hirano
  • Patent number: 10585049
    Abstract: A system includes a controller with one or more processors and memory configured to store one or more sets of program instructions. The one or more processors are configured to execute the one or more sets of program instructions. The one or more sets of program instructions are configured to cause the one or more processors to apply filtering to a semiconductor wafer map; separate the filtered semiconductor wafer map into a plurality of dies; generate a set of die comparison statistics for the plurality of dies; generate at least one excursion map by applying at least one inspection threshold to the set of die comparison statistics; and detect at least one excursion within the at least one excursion map.
    Type: Grant
    Filed: February 12, 2019
    Date of Patent: March 10, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Helen (Heng) Liu, Aye Aung, GuoQing Zhang
  • Patent number: 10578980
    Abstract: A method, system and program for determining a position of a feature referenced to a substrate. The method includes measuring a position of the feature, receiving an intended placement of the feature and determining an estimate of a placement error based on knowledge of a relative position of a first reference feature referenced to a first layer on a substrate with respect to a second reference feature referenced to a second layer on a substrate. The updated position may be used to position the layer of the substrate having the feature, or another layer of the substrate, or another layer of another substrate.
    Type: Grant
    Filed: November 23, 2017
    Date of Patent: March 3, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Ralph Timotheus Huijgen, Marc Jurian Kea, Marcel Theodorus Maria Van Kessel, Masashi Ishibashi, Chi-Hsiang Fan, Hakki Ergün Cekli, Youping Zhang, Maurits Van Der Schaar, Liping Ren
  • Patent number: 10535131
    Abstract: A defect detection method includes acquiring a reference image; selecting a target region of the reference image; identifying, based on a matching metric, one or more comparative regions of the reference image corresponding to the target region; acquiring a test image; masking the test image with the target region of the reference image and the one or more comparative regions of the reference image; defining a defect threshold for the target region in the test image based on the one or more comparative regions in the test image; and determining whether the target region of the test image contains a defect based on the defect threshold.
    Type: Grant
    Filed: November 14, 2016
    Date of Patent: January 14, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Christopher Maher, Bjorn Brauer, Vijayakumar Ramachandran, Laurent Karsenti, Eliezer Rosengaus, John R. Jordan, III, Roni Miller
  • Patent number: 10514685
    Abstract: Systems and methods for monitoring stability of a wafer inspection recipe over time are provided. One method includes collecting inspection results over time. The inspection results are generated by at least one wafer inspection tool while performing the wafer inspection recipe on wafers at different points in time. The method also includes identifying abnormal variation in the inspection results by comparing the inspection results generated at different times to each other. In addition, the method includes determining if the abnormal variation is attributable to the wafers, the wafer inspection recipe, or one or more of the at least one wafer inspection tool thereby determining if the wafer inspection recipe is stable over time.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: December 24, 2019
    Assignee: KLA—Tencor Corp.
    Inventors: Hucheng Lee, Lisheng Gao, Govindarajan Thattaisundaram
  • Patent number: 10509885
    Abstract: Patterns in an integrated circuit may be evaluated, and a semiconductor device may be fabricated based on the evaluation. The evaluation may include a extracting and superimposing first patterns corresponding to design patterns of the same shape from input layout data generated based on inspecting the integrated circuit, generating distribution data of the first patterns based on the superimposed first patterns, determining an evaluation contour of the design patterns based on an evaluation condition and the distribution data, and generating output layout data based on replacing the first patterns with second patterns each having the evaluation contour. Weak points in the integrated circuit may be detected based on the output layout data. The fabricating may include selectively incorporating an integrated circuit into a semiconductor device based on a determination that the integrated circuit includes less than a threshold quantity and/or threshold concentration of weak points.
    Type: Grant
    Filed: September 27, 2017
    Date of Patent: December 17, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-won Kim, Jae-pil Shin, Tae-heon Kim, Yong-hyeon Kim, Tae-hyun Kim, Jin-kyu Park
  • Patent number: 10504805
    Abstract: There is provided a method of examining defects in a semiconductor specimen and a system thereof. The method comprises: processing a first defect map obtained for a first semiconductor specimen to assign cluster-seed (CS) scores to at least some of the classified defects presented therein, wherein a CS score of a given defect is indicative of a number of neighboring defects classified to the same class as the given defect; upon obtaining a second defect map for a second semiconductor specimen, using the CS scores assigned to the at least some of the classified defects presented in the first defect map to select, among defects presented in the second defect map, defects for review, wherein the first defect map and the second defect map present respective defects in an attribute hyperspace; and reviewing defects selected in the second defect map, thereby obtaining classified defects presented in the second semiconductor specimen.
    Type: Grant
    Filed: August 24, 2017
    Date of Patent: December 10, 2019
    Assignee: Applied Materials Israel Ltd.
    Inventors: Yotam Sofer, Ariel Hirszhorn
  • Patent number: 10482590
    Abstract: Defect classification includes acquiring one or more images of a specimen, receiving a manual classification of one or more training defects based on one or more attributes of the one or more training defects, generating an ensemble learning classifier based on the received manual classification and the attributes of the one or more training defects, generating a confidence threshold for each defect type of the one or more training defects based on a received classification purity requirement, acquiring one or more images including one or more test defects, classifying the one or more test defects with the generated ensemble learning classifier, calculating a confidence level for each of the one or more test defects with the generated ensemble learning classifier and reporting one or more test defects having a confidence level below the generated confidence threshold via the user interface device for manual classification.
    Type: Grant
    Filed: December 12, 2017
    Date of Patent: November 19, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Li He, Chien-Huei Adam Chen, Sankar Venkataraman, John R. Jordan, Huajun Ying, Sinha Harsh
  • Patent number: 10475665
    Abstract: There is provided heating method for heating a substrate having a germanium film or a silicon germanium film formed on a surface of the substrate, the method including: loading the substrate kept in an air atmosphere at least a predetermined time into a processing container; and heating the substrate in a state in which an interior of the processing container is kept in a hydrogen gas-containing atmosphere.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: November 12, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takahiro Miyahara, Hiroki Murakami
  • Patent number: 10438338
    Abstract: A system for detecting a status of a pocket of a tray includes a tray having a plurality of pockets that hold an integrated circuit device, a vision mechanism, a light line generator, a reflective device, and a controller. The vision mechanism images the tray along a first optical axis. The light line generator emits a light line along a second optical axis. The reflective device reflects the light line onto the tray along a third optical axis. The third optical axis has a different angle relative to the first optical axis than an angle between the first optical axis and the second optical axis. The controller receives an image of the tray from the vision mechanism, detects the light line reflected onto the tray along the third optical axis, and determines a status of a pocket based on the detected light line along the third optical axis.
    Type: Grant
    Filed: July 31, 2017
    Date of Patent: October 8, 2019
    Assignee: Delta Design, Inc.
    Inventors: Kexiang Ken Ding, James Frandsen, Chris Do
  • Patent number: 10401738
    Abstract: An overlay metrology system includes an overlay metrology tool configurable to generate overlay signals with a plurality of recipes and further directs an illumination beam to an overlay target and collects radiation emanating from the overlay target in response to the at least a portion of the illumination beam to generate the overlay signal with the particular recipe. The overlay metrology system further acquires two or more overlay signals for a first overlay target using two or more unique recipes, subsequently acquires two or more overlay signals for a second overlay target using the two or more unique recipes, determines candidate overlays for the first and second overlay targets based on the two or more overlay signals for each target, and determines output overlays for the first and second overlay targets based on the two or more candidate overlays for each target.
    Type: Grant
    Filed: August 2, 2017
    Date of Patent: September 3, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Andrew V. Hill, Andrei V. Shchegrov, Amnon Manassen, Noam Sapiens
  • Patent number: 10372977
    Abstract: Many conventional video processing algorithms attempting to detect human presence in a video stream often generate false positives on non-human movements such as plants moving in the wind, rotating fan, etc. To reduce false positives, a technique exploiting temporal correlation of non-human movements can accurately detect human occupancy while reject non-human movements. Specifically, the technique involves performing temporal analysis on a time-series signal generated based on an accumulation of foreground maps and an accumulation of motion map and analyzing the running mean and the running variance of the time-series signal. By determining whether the time-series signal is correlated in time, the technique is able to distinguish human movements and non-human movements. Besides having superior accuracy, the technique lends itself to an efficient algorithm which can be implemented on low cost, low power digital signal processor or other suitable hardware.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: August 6, 2019
    Assignee: ANALOG DEVICES GLOVAL UNLIMITED COMPANY
    Inventor: Raka Singh
  • Patent number: 10338574
    Abstract: A system and method can identify manufactured parts. A user can select a particular manufactured part, which can be out-of-specification. The system can retrieve test data for the selected part and for other manufactured parts. The system can normalize the retrieved test data against historical means and historical standard deviations to form normalized test data. The system can correlate the normalized test data for the selected part against normalized test data for each of the other manufactured parts to form correlation values. The system can display the correlation values with identifiers corresponding to the manufactured parts. Each correlation value can represent a degree of similarity between the selected part and a respective manufactured part. The manufactured parts with the highest correlation values can have the same failure mechanism as the selected part, which can help diagnose why the selected part can be out-of-specification.
    Type: Grant
    Filed: September 21, 2015
    Date of Patent: July 2, 2019
    Assignee: Raytheon Company
    Inventor: David Hughes
  • Patent number: 10323930
    Abstract: A system for a movable structured light projector may include (1) a light projector assembly that receives a light control signal and projects structured light into a local area based on the light control signal, (2) an imaging device that receives a capture control signal and captures a reflection of the structured light from the local area based on the capture control signal, and (3) an actuator, coupled to the light projector assembly, that receives an actuator control signal and moves the light projector assembly relative to the imaging device based on the actuator control signal. Various other systems and methods are also disclosed.
    Type: Grant
    Filed: November 14, 2017
    Date of Patent: June 18, 2019
    Assignee: Facebook Technologies, LLC
    Inventors: Manoj Bikumandla, Andrew Matthew Bardagjy, Cina Hazegh, Fei Liu, Bradley James Tallon
  • Patent number: 10303797
    Abstract: Clustering files in deduplication systems is based on an estimate of similarity between files in a file system. The estimates of similarity are based on how much content the files share, where the estimate of how much content is shared is based on an estimate of segments shared. The estimate of segments shared is based on segment offsets found in the files' bitmap vectors of segment offsets. The found segment offsets are used to generate a cluster definition approximating an optimal data structure for clustering files that share content. The approximated optimal data structure defines clusters hierarchically arranged based on the offset numbers of the found segment offsets.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: May 28, 2019
    Assignee: EMC IP HOLDING COMPANY LLC
    Inventors: Guilherme Menezes, Abdullah Reza
  • Patent number: 10281826
    Abstract: Embodiments are directed to a method and system for determining effective dose of a lithography tool. The method includes performing a series of open frame exposures with the lithography tool on a substrate to produce a set of controlled exposure dose blocks in resist, and then baking and developing the exposed substrate. The method further includes scanning the resultant open frame images with oblique light and capturing the light scattered from the substrate surface. The method further includes creating a haze map from the background signal of the scattered light data, converting the haze map to a graphical image file, and analyzing the graphical image file to determine effective dose of the lithography tool, wherein a brightness of the graphical image file is related to effective dose of the lithography tool.
    Type: Grant
    Filed: November 27, 2017
    Date of Patent: May 7, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daniel A. Corliss, Luciana Meli Thompson, Christopher F. Robinson
  • Patent number: 10215714
    Abstract: Method and system for detecting defects on surface of object are presented. An imaging device captures images of surface of object under ambient and dark field illumination conditions. The images are processed with a plurality of image operations to detect area of potential defect at location on surface of object based on predictable pattern consisting of bright and shadow regions. Kernels are defined corresponding to configurations of dark field illumination sources to enhance detecting potential defect. Areas of potential defect are cut from processed images to sub images. Sub images are stitched together to generate hypothesis of potential defect at location on surface of object. The hypothesis is classified with a classifier to determine whether the potential defect is true defect. The classifier is trained with training data having characteristics of true defect. The method provides efficient automated detection of micro defects on surface of object.
    Type: Grant
    Filed: August 16, 2017
    Date of Patent: February 26, 2019
    Assignee: SIEMENS ENERGY, INC.
    Inventors: Ziyan Wu, Rameswar Panda, Jan Ernst, Kevin P. Bailey
  • Patent number: 10209628
    Abstract: A method for automatically classifying one or more defects based on electrical design properties includes receiving one or more images of a selected region of a sample, receiving one or more sets of design data associated with the selected region of the sample, locating one or more defects in the one or more images of the selected region of the sample by comparing the one or more images of the selected region of the sample to the one or more sets of design data, retrieving one or more patterns of interest from the one or more sets of design data corresponding to the one or more defects, and classifying the one or more defects in the one or more images of the selected region of the sample based on one or more annotated electrical design properties included in the one or more patterns of interest.
    Type: Grant
    Filed: October 4, 2016
    Date of Patent: February 19, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Prasanti Uppaluri, Thirupurasundari Jayaraman, Ardis Liang, Srikanth Kandukuri, Sagar Kekare
  • Patent number: 10203289
    Abstract: An inspection system and a method for inspecting a diced wafer. The method includes: acquiring multiple images of multiple portions of the diced wafer according to a predefined image acquisition scheme; locating multiple unique features within the multiple images; and assigning a die index to each die of the multiple dice and associating between the multiple dice and multiple reference dice in response to locations of the multiple unique features and to at least one expected die dimension.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: February 12, 2019
    Assignee: CAMTEK LTD.
    Inventors: Yuri Postolov, Menachem Regensburger
  • Patent number: 10197505
    Abstract: A method for macro inspection, the method includes: (i) concurrently illuminating a current group of spaced apart object sub areas; wherein light reflected in a specular manner from a certain object sub area of the current group of object sub areas is expected to be detected by a certain sensor element of a current group of spaced apart sensor elements that correspond to the current group of spaced apart object sub areas; wherein the object sub areas are spaced apart so as to reduce a probability of a detection of non-specular light from the object; wherein each image sub area comprises multiple pixels; (ii) obtaining image information from the current group of spaced apart sensor elements; and (iii) processing at least a portion of the image information to provide an inspection result.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: February 5, 2019
    Assignee: CAMTEK LTD.
    Inventor: Zehava Ben Ezer
  • Patent number: 10192301
    Abstract: Method and system for detecting line defects on surface of object are presented. An imaging device captures images of surface of object under ambient and dark field illumination conditions. The images are processed with a plurality of image operations to detect areas of potential defects based on predictable pattern consisting of bright and shadow regions. Areas of potential defect are cut from processed images to sub images. Sub images are stitched together to generate hypotheses of potential defects at locations on surface of object. The hypotheses are classified to determine whether the potential defects are true defects at the locations. Line defect is detected by refining line segments detected on the processed image based on criteria. The criteria include distance from the true defects to the line segments and slops between the true defects and the line segments are less than threshold values.
    Type: Grant
    Filed: August 16, 2017
    Date of Patent: January 29, 2019
    Assignee: SIEMENS ENERGY, INC.
    Inventors: Rameswar Panda, Ziyan Wu, Jan Ernst, Kevin P. Bailey
  • Patent number: 10185869
    Abstract: A computer-implemented (including method implemented using laptop, desktop, mobile, and wearable devices) method for image filtering. The method includes analyzing each image to generate a content vector for the image; applying an interest operator to the content vector, the interest operator being based on a plurality of pictures with desirable characteristics, thereby obtaining an interest index for the image; comparing the interest index for the image to an interest threshold; and taking one or more actions or abstaining from one or more actions based on a result of the step of comparing. Also, related systems and articles of manufacture.
    Type: Grant
    Filed: August 11, 2016
    Date of Patent: January 22, 2019
    Assignee: Emotient, Inc.
    Inventors: Javier Movellan, Ken Denman, Joshua Susskind
  • Patent number: 10162271
    Abstract: In a dark-field metrology method using a small target, a characteristic of an image of the target, obtained using a single diffraction order, is determined by fitting a combination fit function to the measured image. The combination fit function includes terms selected to represent aspects of the physical sensor and the target. Some coefficients of the combination fit function are determined based on parameters of the measurement process and/or target. In an embodiment the combination fit function includes jinc functions representing the point spread function of a pupil stop in the imaging system.
    Type: Grant
    Filed: December 30, 2014
    Date of Patent: December 25, 2018
    Assignee: ASML Netherlands B.V.
    Inventors: Hendrik Jan Hidde Smilde, Bastiaan Onne Fagginger Auer, Davit Harutyunyan, Patrick Warnaar
  • Patent number: 10156526
    Abstract: A semiconductor structure includes a wafer comprising a plurality of viewing fields defined thereon, a plurality of dies defined by a scribe line formed in each viewing field, a plurality of mark patterns formed in the scribe line, and a plurality of anchor pattern respectively formed in the review fields, the anchor patterns being different from the mark patterns.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: December 18, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Yung-Teng Tsai, Hung-Chin Lin, Chia-Chen Sun, Chih-Yu Wu, Jun-Ming Chen, Chung-Chih Hung, Sheng-Chieh Chen
  • Patent number: 10133047
    Abstract: An image forming apparatus includes an imager that is electrically connected to an image sensor disposed at a position where light that has passed through a sample slice is incident on the image sensor, and an illumination system that emits illumination light successively in different illumination directions relative to a sample slice to illuminate the sample slice with the illumination light and that emits a first light having a peak in a first wavelength range and a second light having a peak in a second wavelength range. The image forming apparatus obtains a plurality of first-color images with the image sensor while the sample slice is being illuminated with the first light serving as the illumination light successively in the different illumination directions. The image forming apparatus obtains at least one second-color image with the image sensor while the sample slice is being illuminated with the second light in at least one of the different illumination directions.
    Type: Grant
    Filed: April 9, 2015
    Date of Patent: November 20, 2018
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Hideto Motomura, Yasuhiko Adachi, Yoshihisa Kato
  • Patent number: 10114684
    Abstract: A content display control apparatus, a content display control method, and a computer program for executing the content display control method on a computer, whereby one or more clusters are formed from location information of a plurality of pieces of contents, an event cluster having a high probability of an event occurrence is selected, and the selected event cluster are provided to a user, thereby easily organizing and keeping the contents.
    Type: Grant
    Filed: August 12, 2015
    Date of Patent: October 30, 2018
    Assignee: NAVER Corporation
    Inventors: Seung Kyun Han, Sang Bum Kim, Ji Seoung Kim, Hyeon Tae Jeong, Yeon Hee Jung, So Ra Goo
  • Patent number: 10106334
    Abstract: A measurement apparatus 1 according to the present invention includes a table 10 that has an axis of rotation 14 and supports a disc-shaped object 40, a first driving unit that rotates the table 10 around the axis of rotation 14, a light source 50 that produces illumination light with which an end portion 45 of the disc-shaped object 40 is illuminated, an objective lens 60 that collects the illumination light reflected from the end portion 45, a second driving unit that moves the objective lens 60 along an optical axis 64, an imaging unit 70 that captures an image of the end portion 45 by detecting the reflected light collected by the objective lens 60, and an autofocus optical system 80 that determines a position of the objective lens 60 where the image of the end portion 45 is brought into focus in the imaging unit 70.
    Type: Grant
    Filed: June 12, 2017
    Date of Patent: October 23, 2018
    Assignee: Lasertec Corporation
    Inventors: Teruaki Yamazaki, Hiroyuki Jitsukawa
  • Patent number: 10095826
    Abstract: A method and apparatus for selecting Si wafer WP based on individual or multiple DFM decks for Si-feed-forward and Si-feed-back analysis are provided. Embodiments include generating markers for a wafer from an individual DFM deck; generating UCF Indexes; determining whether a representative marker corresponding to a UCF is a candidate for WP prediction; extracting markers corresponding to that UCF-Index (UEF data) from a candidate; performing a UCF-Index-based sampling on the extracted UEF data set if a number of markers in the extracted UEF data set is larger than an inspection requirement; adding a location of each marker or group of markers in the extracted UEF data set to a sitelist after the UCF-Index-based sampling; sending the sitelist to a foundry for metrology analysis on sitelist locations; and adding the sitelist locations and corresponding UCF Index and metrology parameters to a design analysis database for analyzing other wafers/UCF Indexes.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: October 9, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Shikha Somani, Sriram Madhavan, Thomas Herrmann, Stefan Schüler, Uwe Schroeder, Shobhit Malik, Eric Chiu
  • Patent number: 10068176
    Abstract: Embodiments of the present invention disclose a defect prediction method and apparatus, which relate to the data processing field, and implement accurate and quick locating of a defect in a faulty product. A specific solution is as follows: selecting a training attribute set from a pre-stored product fault record according to a target attribute, and combining the target attribute and the training attribute set into a training set, where the target attribute is a defect attribute of a historical faulty product; generating a classifier set according to the training set, where the classifier set includes at least two tree classifiers; and predicting a defect of a faulty product by using the classifier set as a prediction model. The present invention is used in a process of predicting a defect of a faulty product.
    Type: Grant
    Filed: December 31, 2014
    Date of Patent: September 4, 2018
    Assignee: Huawei Technologies Co., Ltd.
    Inventors: Wun Wah Edmond Chan, Lujia Pan
  • Patent number: 10062650
    Abstract: A semiconductor device employs at least one of semiconductor chip groups. The semiconductor device includes a semiconductor chip included in the semiconductor chip groups, and a package. The semiconductor chip includes an information recording region on which is recorded a first piece of identification information indicating to which group of the semiconductor chip groups the semiconductor chip belongs based on a first category. The information recording region includes a plurality of fuses selectively blown in accordance with the first piece of identification information. Indicated on the package is a second piece of identification information indicating to which group of the semiconductor chip groups the semiconductor chip belongs based on a second category. The first and second pieces of identification information are combined together to identify the semiconductor chip from among the semiconductor chip groups.
    Type: Grant
    Filed: September 1, 2014
    Date of Patent: August 28, 2018
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kazuya Hokazono, Akihisa Yamamoto, Dong Wang
  • Patent number: 10062156
    Abstract: An inspection method includes receiving a plurality of inspection images of a substrate. The method includes generating a first noise image from a first image from the first channel and an additional noise image from the additional image from the additional channel. The method further includes generating a first signal-to-noise ratio (SNR) image from the first noise image and an additional SNR image from the additional noise image and identifying one or more first pixel candidates in the first SNR image and in the additional SNR image. The method further includes combining image data from the first SNR image and image data from the additional SNR image at common pixel candidate sites based on the one or more identified first pixel candidates and the one or more identified additional pixel candidates to form a combined image used to detect defects on the substrate.
    Type: Grant
    Filed: October 4, 2016
    Date of Patent: August 28, 2018
    Assignee: KLA-Tencor Corporation
    Inventors: Premchandra M. Shankar, Ashok Varadarajan
  • Patent number: 10026589
    Abstract: The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use patterns generated using the Hadamard transform as alignment and registration marks (Hadamard targets) for multiple-column charged particle beam substrate processing and inspection tools. Hadamard targets can be written to a substrate using charged particle beams performing, for example, resist-based lithography or resist-less direct processing. High-order Hadamard targets can also be patterned and imaged to obtain superior column performance metrics for applications such as super-rapid beam calibration DOE, column matching, and column performance tracking.
    Type: Grant
    Filed: March 9, 2017
    Date of Patent: July 17, 2018
    Inventors: Kevin M. Monahan, Michael C. Smayling, Theodore A. Prescop, David K. Lam
  • Patent number: 9971923
    Abstract: The present disclosure discloses a fingerprint identification apparatus and a mobile terminal using the same. The fingerprint identification apparatus includes: a fingerprint identification module, including a sensing circuit, a control circuit and a base plate, wherein the sensing circuit is arranged on the base plate via a support member and electrically connected to the base plate, and the control circuit is electrically connected to the base plate and located beneath the sensing circuit. a conductor holder, the conductor holder being provided with a through hole, covering the fingerprint identification module and electrically connected to the base plate; and a dielectric cover sheet, the dielectric cover sheet being assembled in the through hole and connected to the sensing circuit.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: May 15, 2018
    Assignee: Shenzhen Goodix Technology Co., Ltd.
    Inventors: Wei Long, Yixiang Qiu
  • Patent number: 9968280
    Abstract: A method for tracking movement of a movable portion of an interventional device disposed within a natural or artificial body opening is provided. In particular, image data of fiducials is acquired and therefrom an initial position of an interventional device movable portion with respect to a given coordinate system is determined. Next, real time position data from the encoders is acquired as the movable portion is moved from the initial position, and a displaced position from the initial position is determined. From this acquired information, a position of the movable portion in the coordinate system is determined using both the initial position as determined from the image data and the real time displaced position as determined from the encoders.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: May 15, 2018
    Assignee: The Johns Hopkins University
    Inventors: Louis L. Whitcomb, Axel Krieger, Robert C. Susil, Gabor Fichtinger, Ergin Atalar, Iulian I. Iordachita
  • Patent number: 9965849
    Abstract: A void evaluation apparatus in a solder includes an evaluation function calculation unit for calculating a solder evaluation function by using a pixel value pi contained in the voids that is set to 1 and the pixel value pi not contained in the voids is 0 for each pixel constituting an image in the solder, and by using a weight function w(ri), which is maximum at a solder center (ri=0), and is 0 at a maximum radius (ri=r0) for a distance ri from the solder center. The apparatus further has a void evaluation unit for evaluating that the influence of voids is larger as the evaluation function is relatively larger for the each solder.
    Type: Grant
    Filed: November 10, 2014
    Date of Patent: May 8, 2018
    Assignees: OSAKA UNIVERSITY, BEAMSENSE Co., Ltd.
    Inventors: Takashi Suzuki, Sueki Baba
  • Patent number: 9964607
    Abstract: A method includes generating synthetic data related to known defect patterns on surfaces of magnetic media using parameterized rules. A classifier model is trained with the synthetic data so that the classifier model learns how to detect and identify defect patterns on magnetic media. Performance of the classifier model is validated by using real defect pattern data. The classifier model is deployed for use in identifying defective data patterns on magnetic media test specimens. The classifier may be used before or after clustering defect data points on surfaces of magnetic media.
    Type: Grant
    Filed: August 28, 2017
    Date of Patent: May 8, 2018
    Assignee: SEAGATE TECHNOLOGY LLC
    Inventors: Nicholas C. Propes, Ryan Baldini, Rommel Liwag, Arswendo Tjahjadi
  • Patent number: 9967971
    Abstract: A method for reducing warpage on an organic substrate. The method includes: preparing an organic substrate, which includes (i) a core layer having an organic material, (ii) a first buildup layer on a front surface of the core layer, and (iii) a second buildup layer on a back surface of the core layer, measuring warpage of the organic substrate, calculating a thickness of a correction layer for reducing the warpage using properties of constituent materials including the coefficient of thermal expansion (CTE) and the Young's modulus of the core layer, and CTEs and the Young's modulus of the first and the second buildup layers, and forming at least one correction layer having the thickness on at least one part of surfaces of the first buildup layer and the second buildup layer. A system and an organic substrate is also provided.
    Type: Grant
    Filed: November 12, 2015
    Date of Patent: May 8, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sayuri Hada, Hiroyuki Mori, Keishi Okamoto
  • Patent number: 9953803
    Abstract: A calibration method for calibrating the position error in the point of interest induced from the stage of the defect inspection tool is achieved by controlling the deflectors directly. The position error in the point of interest is obtained from the design layout database.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: April 24, 2018
    Assignee: HERMES MICROVISION INC.
    Inventors: Wei Fang, Kevin Liu, Fei Wang, Jack Jau
  • Patent number: 9915879
    Abstract: A pattern from a patterning device is applied to a substrate by a lithographic apparatus. The applied pattern includes product features and metrology targets. The metrology targets include large targets which are for measuring overlay using X-ray scattering and small targets which are for measuring overlay by diffraction of visible radiation. Some of the smaller targets are distributed at locations between the larger targets, while other small targets are placed at the same locations as a large target. By comparing values measured using a small target and large target at the same location, parameter values measured using all the small targets can be corrected for better accuracy. The large targets can be located primarily within scribe lanes while the small targets are distributed within product areas.
    Type: Grant
    Filed: May 12, 2015
    Date of Patent: March 13, 2018
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Quintanilha, Willem Marie Julia Marcel Coene
  • Patent number: 9898811
    Abstract: Defect classification includes acquiring one or more images of a specimen, receiving a manual classification of one or more training defects based on one or more attributes of the one or more training defects, generating an ensemble learning classifier based on the received manual classification and the attributes of the one or more training defects, generating a confidence threshold for each defect type of the one or more training defects based on a received classification purity requirement, acquiring one or more images including one or more test defects, classifying the one or more test defects with the generated ensemble learning classifier, calculating a confidence level for each of the one or more test defects with the generated ensemble learning classifier and reporting one or more test defects having a confidence level below the generated confidence threshold via the user interface device for manual classification.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: February 20, 2018
    Assignee: KLA-Tencor Corporation
    Inventors: Li He, Chien-Huei Adam Chen, Sankar Venkataraman, John R. Jordan, III, Huajun Ying, Harsh Sinha
  • Patent number: 9885671
    Abstract: Disclosed are methods and apparatus for imaging a rounded edge of a sample, such as a wafer with a beveled edge. In one embodiment, the system includes a curved diffuser having an internal surface for positioning towards the rounded edge of the sample and an external surface opposite the internal surface and light sources for generating a plurality of illumination beams adjacent to a plurality of positions on the external surface of the diffuser so that the diffuser outputs uniform light onto the rounded edge of the sample at a wide range of incident angles. The system further includes a sensor for receiving light scattered from the rounded edge of the sample in response to the incident light and generating a detected signal for generating an image. These elements are partially or entirely integrated into a compact assembly.
    Type: Grant
    Filed: June 5, 2015
    Date of Patent: February 6, 2018
    Assignee: KLA-Tencor Corporation
    Inventor: Paul D. Horn
  • Patent number: 9852500
    Abstract: A method for inspecting an installed component includes receiving an identity and selected location of the component as an input signal via a handheld inspection device having a controller, digital camera, and display screen, and collecting a dynamic pixel image of the selected location in real-time using the digital camera. The method includes displaying the image in real time via the display screen, projecting virtual guidance lines onto the image corresponding to edges of the installed component, and identifying the component via the controller when the image is aligned with the projected acquisition lines. A predetermined area of the installed component is identified after identifying the installed component, a predetermined feature dimension is measured within the identified predetermined area, and an output signal is generated with a status indicative of whether the measured feature dimension falls within a calibrated range.
    Type: Grant
    Filed: July 15, 2015
    Date of Patent: December 26, 2017
    Assignee: GM Global Technology Operations LLC
    Inventors: Rick F. Rourke, Robert J. Scheuerman
  • Patent number: 9824938
    Abstract: Provided is a charged particle beam device which can specify a position of an initial core with high accuracy even when fine line and space patterns are formed by an SADP in plural times. The charged particle beam device includes a detector (810) which detects secondary charged particles discharged from a sample (807) when a charged particle beam is emitted to the sample having a plurality of patterns of line shape, a display unit (817) which displays image data of a surface of the sample on the basis of a signal of the secondary charged particles, a calculation unit (812) which calculates an LER value with respect to the plurality of the patterns of line shape from the image data, and a determination unit (816) which compares the values to determine a position of the initial core.
    Type: Grant
    Filed: March 31, 2015
    Date of Patent: November 21, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsuko Yamaguchi, Osamu Inoue, Hiroki Kawada
  • Patent number: 9818190
    Abstract: The disclosure relates to devices, systems and methods for image registration and annotation. The devices include computer software products for aligning whole slide digital images on a common grid and transferring annotations from one aligned image to another aligned image on the basis of matching tissue structure. The systems include computer-implemented systems such as work stations and networked computers for accomplishing the tissue-structure based image registration and cross-image annotation. The methods include processes for aligning digital images corresponding to adjacent tissue sections on a common grid based on tissue structure, and transferring annotations from one of the adjacent tissue images to another of the adjacent tissue images.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: November 14, 2017
    Assignee: Ventana Medical Systems, Inc.
    Inventors: Srinivas Chukka, Anindya Sarkar, Bikash Sabata, Quan Yuan