Inspection Of Semiconductor Device Or Printed Circuit Board Patents (Class 382/145)
  • Patent number: 11302545
    Abstract: The present disclosure provides a system and a method for controlling semiconductor manufacturing equipment. The system includes a sensor, a sensor interface, and an analysis unit. The sensor provides a sensor signal. The sensor interface receives the sensor signal and generates an input signal for a database server. A front-end subsystem receives the input signal from the database server and performs a comparison process to generate a data signal. A calculation subsystem performs an artificial intelligence analytical process to generate an optimal parameter set and a simulated result map according to the data signal. A message and tuning subsystem generates an alert signal and a feedback signal according to the optimal parameter set and the simulated result map, and the message and tuning subsystem transmits the alert message to a user of the semiconductor manufacturing equipment.
    Type: Grant
    Filed: March 20, 2020
    Date of Patent: April 12, 2022
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Jheng-Ting Jhong, Wei-Pin Lin, Ching-Mao Huang
  • Patent number: 11294537
    Abstract: In order to process time series data obtained in a substrate processing apparatus having a plurality of processing units, a data processing method includes an evaluation value calculation step of obtaining a score of the time series data by comparing the time series data with reference data, and a result display step of displaying an evaluation result screen including, for each processing unit, a number of score errors being a number of substrates of which score is abnormal, and a pie chart showing a ratio of the number of score errors to a number of processed substrates. A display size of the number of score errors and that of the pie chart change depending on the number of score errors.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: April 5, 2022
    Inventors: Hideji Naohara, Yumiko Hirato
  • Patent number: 11282670
    Abstract: Methods and systems include acquiring instances of data relating to multiple layers of a sample obtained via slice and view imaging where the electron interaction depth of the charged particle beam during each irradiation of the sample is larger than the thickness of the first layer and/or the thickness of the second layer. A simulated model is then accessed that identifies a plurality of yield values that identify expected portions/ratios of detected emissions that are expected to be generated by material in corresponding layers/depths of the sample. The yield values are used to segregate the instances of data into component portions based on the particular layer of the sample in which the structures expected to have generated the associated emissions are located. The component portions are then used to create reconstructions of individual layers and/or 3D reconstructions of the sample with reduced depth blur.
    Type: Grant
    Filed: December 29, 2020
    Date of Patent: March 22, 2022
    Assignee: FEI Company
    Inventors: Pavel Potocek, Luká{hacek over (s)} Hübner, Milo{hacek over (s)} Hovorka, Erik Rene Kieft
  • Patent number: 11264254
    Abstract: A substrate processing tool configured for performing integrated substrate processing and substrate metrology, and methods of processing a substrate. The substrate processing tool includes a substrate transfer chamber, a plurality of substrate processing chambers coupled to the substrate transfer chamber, and a substrate metrology module coupled to the substrate transfer chamber. A substrate processing method includes processing a substrate in a first substrate processing chamber of a substrate processing tool, transferring the substrate from the first substrate processing chamber through a substrate transfer chamber to a substrate metrology module in the substrate processing tool, performing metrology on the substrate in the substrate metrology module, transferring the substrate from the substrate metrology module to a second substrate processing chamber through the substrate transfer chamber, and processing the substrate in the second substrate processing chamber.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: March 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara Tapily, Robert Clark
  • Patent number: 11246204
    Abstract: A method for controlling an ultraviolet lamp for even and uniform irradiation of curable glue on a product includes obtaining tilt data of a platform of a machine, where the tilt data comprises a tilt direction and a tilt angle, and calculating a tilt amplitude of the platform of the machine based on the tilt data. The method further includes determining an ultraviolet lamp as a target to be adjusted based on the tilt direction, and obtaining an adjustment range of the target ultraviolet lamp based on the calculated tilt amplitude, and sending the adjustment range to an ultraviolet lamp adjustment device to adjust the target ultraviolet lamp. So that ultraviolet light emitted by the target ultraviolet lamp can illuminate the product to be irradiated on the platform in parallel.
    Type: Grant
    Filed: August 10, 2020
    Date of Patent: February 8, 2022
    Assignee: TRIPLE WIN TECHNOLOGY(SHENZHEN) CO. LTD.
    Inventors: Wen-Chin Tsai, Cong Zhu
  • Patent number: 11237872
    Abstract: Real-time job distribution software architectures for high bandwidth, hybrid processor computation systems for semiconductor inspection and metrology are disclosed. The imaging processing computer architecture can be scalable by changing the number of CPUs and GPUs to meet computing needs. The architecture is defined using a master node and one or more worker nodes to run image processing jobs in parallel for maximum throughput. The master node can receive input image data from a semiconductor wafer or reticle. Jobs based on the input image data are distributed to one of the worker nodes. Each worker node can include at least one CPU and at least one GPU. The image processing job can contain multiple tasks, and each of the tasks can be assigned to one of the CPU or GPU in the worker node using a worker job manager to process the image.
    Type: Grant
    Filed: May 14, 2018
    Date of Patent: February 1, 2022
    Assignee: KLA-TENCOR CORPORATION
    Inventors: Ajay Gupta, Sankar Venkataraman, Sashi Balasingam, Mohan Mahadevan
  • Patent number: 11216935
    Abstract: A method, performed by a process management apparatus, of managing vision inspection using an artificial intelligence (AI) model and an apparatus therefor are provided. The method includes obtaining first process data related to a first manufacturing process through which a first object passes, identifying a first region on which intensive inspection is to be performed in an entire region of the first object using the AI model and the first process data, controlling a first vision inspector to inspect the identified first region, and determining whether a defect is present in the identified first region.
    Type: Grant
    Filed: January 25, 2019
    Date of Patent: January 4, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chihyun Cho, Changbae Yoon, Jinguk Jeong
  • Patent number: 11216936
    Abstract: A plurality of captured images is acquired while changing a light illumination state. Each captured image is compared with a corresponding reference image to acquire a region where the captured image is darker than the reference image as a dark defect candidate region. From each of a plurality of captured images, a region where the captured image is lighter than the reference image is acquired as a lightness/darkness inverted region. Among the dark defect candidate regions, those that do not overlap by a prescribed criterion or more with any of the lightness/darkness inverted regions are excluded from defect candidates, and then the presence of a defect is acquired on the basis of the defect candidate regions. This suppresses over-detection of defects arising from, for example, grime on the surface during external appearance inspection.
    Type: Grant
    Filed: January 18, 2017
    Date of Patent: January 4, 2022
    Assignee: SCREEN HOLDINGS CO., LTD.
    Inventors: Tsutomu Sakuyama, Yasushi Nagata, Hiroyuki Onishi
  • Patent number: 11209737
    Abstract: A metrology system may include a characterization tool configured to generate metrology data for a sample based on the interaction of an illumination beam with the sample, and may also include one or more adjustable measurement parameters to control the generation of metrology data. The metrology system may include one or more processors that may receive design data associated with a plurality of regions of interest for measurement, select individualized measurement parameters of the characterization tool for the plurality of regions of interest, and direct the characterization tool to characterize the plurality of regions of interest based on the individualized measurement parameters.
    Type: Grant
    Filed: June 30, 2020
    Date of Patent: December 28, 2021
    Assignee: KLA Corporation
    Inventors: Henning Stoschus, Stefan Eyring, Ulrich Pohlmann, Inna Steely-Tarshish, Nadav Gutman
  • Patent number: 11199506
    Abstract: There is provided a system and method of generating a training set usable for examination of a semiconductor specimen. The method comprises: obtaining a simulation model capable of simulating effect of a physical process on fabrication process (FP) images depending on the values of parameters of the physical process; applying the simulation model to an image to be augmented for the training set and thereby generating one or more augmented images corresponding to one or more different values of the parameters of the physical process; and including the generated one or more augmented images into the training set. The training set can be usable for examination of the specimen using a trained Deep Neural Network, automated defect review, automated defect classification, automated navigation during the examination, automated segmentation of FP images, automated metrology based on FP images and other examination processes that include machine learning.
    Type: Grant
    Filed: February 20, 2019
    Date of Patent: December 14, 2021
    Assignee: Applied Materials Israel Ltd.
    Inventors: Ohad Shaubi, Assaf Asbag, Boaz Cohen
  • Patent number: 11175240
    Abstract: An inspection apparatus includes: an upstream imaging mechanism that images an upstream wall surface extending from a bottom part of a recess upstream of and adjacent to a projection in a rotating direction of a workpiece to a tip of the projection; and a downstream imaging mechanism that images a downstream wall surface extending from a bottom part of the recess downstream of and adjacent to the projection in the rotating direction to the tip of the projection. At least one of the imaging mechanisms includes a mover for parallelly moving and positioning in an orthogonal plane orthogonal to the axis of symmetry and images the workpiece from a position radially outward of the workpiece and positioned by the mover.
    Type: Grant
    Filed: May 10, 2018
    Date of Patent: November 16, 2021
    Assignee: SCREEN HOLDINGS CO., LTD.
    Inventor: Shohei Otsuki
  • Patent number: 11170475
    Abstract: Embodiments disclosed herein may comprise receiving a run-time image of a run-time die and, with a deep learning module, identifying a characteristic noise in the run-time image, and modifying the run-time image to reduce the characteristic noise, thereby generating a de-noised run-time image. Such embodiments may be performed as methods, by systems, or from non-transitory computer-readable storage media on one or more computing devices. An image sensor of a metrology tool may capture the run-time image of the run-time die. The metrology tool may include a run-time die disposed on a specimen, a run-time image sensor, and a processor in electronic communication with the run-time image sensor. Embodiments may further comprise receiving a training image of a training die, modifying the training image, and training the deep learning module to identify the characteristic noise in the run-time image and modify the run-time image.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: November 9, 2021
    Assignee: KLA Corporation
    Inventor: Anuj Pandey
  • Patent number: 11164302
    Abstract: Systems and processes for analyzing an image. Analyzing the image may comprise selecting a computer vision parameter for an image feature identification process. The image feature identification process may identify at least one feature in the image when using the computer vision parameter. Analyzing the image may further comprise segmenting the image into a region of interest T and a background region B. Analyzing the image may further comprise calculating a set of statistical values about the region of interest T of the image. Analyzing the image may further comprise classifying the image based on both the computer vision parameter and the set of statistical values as one of either: a defect containing image or a defect free image.
    Type: Grant
    Filed: August 8, 2019
    Date of Patent: November 2, 2021
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Kathryn Brenda Bean, Teresa Perez Estrada, Edward Brian Fletcher, Mehul N. Patel
  • Patent number: 11126652
    Abstract: According to one embodiment, a retrieval device includes one or more processors configured to retrieve a plurality of search image regions from an intended image through image search using a search query, extract a plurality of learning image regions from a learning image used in learning of the image search, through the image search using the search query, and display the search image regions and the learning image regions on a display.
    Type: Grant
    Filed: February 26, 2019
    Date of Patent: September 21, 2021
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuto Yamaji, Tomoyuki Shibata
  • Patent number: 11112369
    Abstract: Designs for a hybrid overlay target design that includes a target area with both an imaging-based target and a scatterometry-based target are disclosed. The imaging-based overlay target design can include side-by-side grating structure. A scatterometry-based overlay target design at a different location in the target area can include grating-over-grating structure. A method of measuring the hybrid overlay target design and a system with both an imaging optical system and a scatterometry system for measuring the hybrid overlay target design are also disclosed.
    Type: Grant
    Filed: June 1, 2018
    Date of Patent: September 7, 2021
    Assignee: KLA-Tencor Corporation
    Inventor: David Gready
  • Patent number: 11113827
    Abstract: Care areas for a first image of a die can be determined according to a 1D offset correction. The 1D offset correction can be based on 1D offsets between the first image and a second image for each of the image frames and also can be based on 1D offsets between a design and the second image for each of the image frames. The care areas can have a zero border for a dimension that is aligned to the design and a legacy border for the other dimension.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: September 7, 2021
    Assignee: KLA Corporation
    Inventor: Bjorn Brauer
  • Patent number: 11093683
    Abstract: Systems and methods are provided for generating test patterns. In various embodiments, systems and methods are provided in which machine learning is utilized to generate the test patterns in a manner so that the test patterns conform with design rule check (DRC) specified for a particular semiconductor manufacturing process or for particular types of devices. A test pattern generation system includes test pattern generation circuitry which receives a noise image. The test pattern generation generates a pattern image based on the noise image, and further generates a test pattern based on the pattern image. The test pattern is representative of geometric shapes of an electronic device design layout that is free of design rule check violations.
    Type: Grant
    Filed: September 3, 2019
    Date of Patent: August 17, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Fu-An Tien, Hsu-Ting Huang, Ru-Gun Liu
  • Patent number: 11079335
    Abstract: Designs for a hybrid overlay target design that includes a target area with both an imaging-based target and a scatterometry-based target are disclosed. The imaging-based overlay target design can include side-by-side grating structure. A scatterometry-based overlay target design at a different location in the target area can include grating-over-grating structure. A method of measuring the hybrid overlay target design and a system with both an imaging optical system and a scatterometry system for measuring the hybrid overlay target design are also disclosed.
    Type: Grant
    Filed: June 1, 2018
    Date of Patent: August 3, 2021
    Assignee: KLA-Tencor Corporation
    Inventor: David Gready
  • Patent number: 11079337
    Abstract: Techniques for secure and tamper-resistant wafer identification using a unique wafer fingerprint are provided. In one aspect, a method for wafer authentication includes: placing, at each level of fabrication of chips on the wafer, reference structures across the chips; inspecting the wafer at each level of the fabrication; and performing at least one of overlay and scatterometry measurements of the reference structures to use as a unique fingerprint for authenticating the wafer that has been inspected. A method for authentication throughout a process flow for fabrication of chips on a wafer is also provided, as is a wafer having chips and reference structures placed across the chips at each level of the chips to provide a unique fingerprint for authenticating the wafer.
    Type: Grant
    Filed: March 17, 2020
    Date of Patent: August 3, 2021
    Assignee: International Business Machines Corporation
    Inventors: Fee Li Lie, Effendi Leobandung, Richard C. Johnson, Scott Halle, Robin Hsin Kuo Chao
  • Patent number: 11060981
    Abstract: Samples at a semiconductor wafer that have been reviewed by a review tool may be identified. Furthermore, a candidate sample at the semiconductor wafer that has not been reviewed by the review tool may be identified. A location of the candidate sample at the semiconductor wafer may and a number of the samples that have been reviewed that are at locations proximate to the location of the candidate sample may be determined. The candidate sample may be selected for review by the review tool based on the number of the plurality of samples that are at locations proximate to the location of the candidate sample.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: July 13, 2021
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Ariel Hirszhorn, Yotam Sofer
  • Patent number: 11049280
    Abstract: This invention provides a system and method that ties the coordinate spaces at the two locations together during calibration time using features on a runtime workpiece instead of a calibration target. Three possible scenarios are contemplated: wherein the same workpiece features are imaged and identified at both locations; wherein the imaged features of the runtime workpiece differ at each location (with a CAD or measured workpiece rendition available); and wherein the first location containing a motion stage has been calibrated to the motion stage using hand-eye calibration and the second location is hand-eye calibrated to the same motion stage by transferring the runtime part back and forth between locations. Illustratively, the quality of the first two techniques can be improved by running multiple runtime workpieces each with a different pose, extracting and accumulating such features at each location; and then using the accumulated features to tie the two coordinate spaces.
    Type: Grant
    Filed: May 13, 2019
    Date of Patent: June 29, 2021
    Assignee: Cognex Corporation
    Inventors: Guruprasad Shivaram, Cyril C. Marrion, Jr., Lifeng Liu, Tuotuo Li
  • Patent number: 11047792
    Abstract: A semiconductor device inspection method of inspecting a semiconductor device which is an inspection object includes: a step of inputting a stimulation signal to the semiconductor device; a step of acquiring a detection signal based on a reaction of the semiconductor device to which the stimulation signal has been input; a step of generating a first in-phase image and a first quadrature image including amplitude information and phase information in the detection signal based on the detection signal and a reference signal generated based on the stimulation signal; and a step of performing, a filtering process of reducing noise on at least one of the first in-phase image and the first quadrature image and then generating a first amplitude image based on the first in-phase image and the first quadrature image.
    Type: Grant
    Filed: July 4, 2017
    Date of Patent: June 29, 2021
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventors: Tomonori Nakamura, Akihiro Otaka
  • Patent number: 11049268
    Abstract: A superimposing position correction device includes an image acquisition unit, a difference image generation unit, a processing plan image generation unit, a subregion generation unit, a similar shape search unit, a representative point extraction unit, a projection matrix calculation unit and a superimposition display unit.
    Type: Grant
    Filed: March 2, 2017
    Date of Patent: June 29, 2021
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Yoshihiro Tomaru, Takeshi Osaga
  • Patent number: 11037037
    Abstract: According to an embodiment of this invention, an image processing apparatus for acquiring image data edited after obtained by image-capturing an object performs the following processing. More specifically, the apparatus obtains information, equivalent to a distance from a focal plane in image-capturing, corresponding to image data before editing, obtained when image-capturing the object, and generates information, equivalent to a distance from a focal plane, corresponding to the edited image data, based on the edited image data, and the information, equivalent to the distance from the focal plane, corresponding to the image data before editing.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: June 15, 2021
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinichi Miyazaki, Shuhei Ogawa
  • Patent number: 11029255
    Abstract: An object of the present invention is to provide a defect inspection device, a defect inspection method, and a program that support an image interpreter so that image interpretation can be performed accurately and rapidly.
    Type: Grant
    Filed: February 6, 2019
    Date of Patent: June 8, 2021
    Assignee: FUJIFILM Corporation
    Inventor: Yasuhiko Kaneko
  • Patent number: 11030737
    Abstract: A method of detecting hardware Trojans in an IC includes providing a golden IC layout data set or SEM image data taken at long dwelling time on an active area of the golden IC after polishing it from the backside. Next, the IC under authentication (IUA) sample is prepared for fast SEM imagining (shorter dwelling time) after backside thinning. Next step is to perform image processing on the IUA's SEM image, which includes histogram equalization with noise filtering using Gaussian and Median filters. In the last step, the IUA sample data with the shorter dwelling time is compared with the golden IC layout data or the golden image data from high quality (longer dwelling time) SEM scanning process. At the end the result of the comparison is used to identify hardware Trojans.
    Type: Grant
    Filed: September 17, 2019
    Date of Patent: June 8, 2021
    Assignee: UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED
    Inventors: Mark M. Tehranipoor, Haoting Shen, Nidish Vashistha, Navid Asadizanjani, Mir Tanjidur Rahman, Damon Woodard
  • Patent number: 11010885
    Abstract: One or more semiconductor wafers or portions thereof are scanned using a primary optical mode, to identify defects. A plurality of the identified defects, including defects of a first class and defects of a second class, are selected and reviewed using an electron microscope. Based on this review, respective defects of the plurality are classified as defects of either the first class or the second class. The plurality of the identified defects is imaged using a plurality of secondary optical modes. One or more of the secondary optical modes are selected for use in conjunction with the primary optical mode, based on results of the scanning using the primary optical mode and the imaging using the plurality of secondary optical modes. Production semiconductor wafers are scanned for defects using the primary optical mode and the one or more selected secondary optical modes.
    Type: Grant
    Filed: May 8, 2019
    Date of Patent: May 18, 2021
    Assignee: KLA Corporation
    Inventors: Bjorn Brauer, Richard Wallingford, Kedar Grama, Hucheng Lee, Sangbong Park
  • Patent number: 11009345
    Abstract: Disclosed is a method of, and associated metrology apparatus for, determining a characteristic of a target on a substrate. The method comprises obtaining a plurality of intensity asymmetry measurements, each intensity asymmetry measurement relating to a target formed on the substrate and determining a sensitivity coefficient corresponding to each target, from the plurality of intensity asymmetry measurements. Using these sensitivity coefficients a representative sensitivity coefficient is determined for said plurality of targets or a subset greater than one thereof. The characteristic of the target can then be determined using the representative sensitivity coefficient.
    Type: Grant
    Filed: May 21, 2019
    Date of Patent: May 18, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Alberto Da Costa Assafrao, Mohammadreza Hajiahmadi
  • Patent number: 10990616
    Abstract: Systems and methods are disclosed for parsing logs from arbitrary or unknown systems or applications by capturing heterogeneous logs from the arbitrary or unknown systems or applications; generating one pattern for every unique log message; building a pattern hierarchy tree by grouping patterns based on similarity metrics, and for every group it generates one pattern by combing all constituting patterns of that group; and selecting a set of patterns from the pattern hierarchy tree.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: April 27, 2021
    Inventors: Biplob Debnath, Jianwu Xu, Hui Zhang, Guofei Jiang, Hossein Hamooni
  • Patent number: 10964013
    Abstract: A system, method, and non-transitory computer readable medium are provided for training and applying defect classifiers in wafers having deeply stacked layers. In use, a plurality of images generated by an inspection system for a location of a defect detected on a wafer by the inspection system are acquired. The location on the wafer is comprised of a plurality of stacked layers, and each image of the plurality of images is generated by the inspection system at the location using a different focus setting. Further, a classification of the defect is determined, utilizing the plurality of images.
    Type: Grant
    Filed: January 5, 2018
    Date of Patent: March 30, 2021
    Assignee: KLA-TENCOR CORPORATION
    Inventors: Martin Plihal, Ankit Jain
  • Patent number: 10957567
    Abstract: A system, computer program product and a method for detecting manufacturing process defects, the method may include: obtaining multiple edge measurements of one or more structural elements after a completion of each one of multiple manufacturing phases; generating spatial spectrums, based on the multiple edge measurements, for each one of the multiple manufacturing phases; determining relationships between bands of the spatial spectrums; and identifying at least one of the manufacturing process defects based on the relationships between the bands of the spatial spectrums.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: March 23, 2021
    Assignee: Applied Materials Israel Ltd.
    Inventors: Moshe Amzaleg, Ofer Adan
  • Patent number: 10949702
    Abstract: A system and method for retrieval of similar images related to query images is provided. The query images are pre-processed for noise removal by selecting filtering technique based on noise variance estimation in each query image with respect to pre-set noise variance threshold value. The pre-processed query images are pre-classified for determining class one image identifier. Image types are generated from pre-processed query images for determining class two image identifier. Features are extracted from pre-classified query images based on class one image identifier and from generated images based on class two image identifier. The images similar to query images are retrieved which have features similar to extracted features of pre-classified query images and generated images. The retrieved similar images are ranked for determining most similar images with respect to query images. Similarity between query images and retrieved similar images is analyzed for re-ranking retrieved similar images.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: March 16, 2021
    Assignee: COGNIZANT TECHNOLOGY SOLUTIONS INDIA PVT. LTD.
    Inventors: Rajkumar Joseph, Venugopal Gundimeda, Jerubbaal John Luke, Mahesh Balaji
  • Patent number: 10937706
    Abstract: A first defect map representing defects in a first semiconductor specimen in an attribute hyperspace may be received. Scores may be assigned to classified defects in the first defect map where an assigned score of a given defect of the classified defects in the first defect map is indicative of a number of defects within a threshold distance in the attribute hyperspace to the given defect in the first defect map that are classified to a same defect class as the given defect. A second defect map representing defects in a second semiconductor specimen in the attribute hyperspace may be received. Defects in the second defect map may be selected for review based on the scores assigned to the classified defects in the first defect map. The selected defects in the second defect map may be selected for classification.
    Type: Grant
    Filed: November 6, 2019
    Date of Patent: March 2, 2021
    Assignee: Applied Materials Israel Ltd.
    Inventors: Yotam Sofer, Ariel Hirszhorn
  • Patent number: 10921334
    Abstract: An examination system, a method of obtaining a training set for a classifier, and a non-transitory computer readable medium, the method comprising: upon receiving in a memory device object inspection results comprising data indicative of potential defects, each potential defect of the potential defects associated with a multiplicity of attribute values defining a location of the potential defect in an attribute space: sampling by the processor a first set of defects from the potential defects, wherein the defects within the first set are dispersed independently of a density of the potential defects in the attribute space; and obtaining by the processor a training defect sample set comprising the first set of defects and data or parameters representative of the density of the potential defects in the attribute space.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: February 16, 2021
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Kirill Savchenko, Assaf Asbag, Boaz Cohen
  • Patent number: 10901325
    Abstract: Methods are provided for designing metrology targets and estimating the uncertainty error of metrology metric values with respect to stochastic noise such as line properties (e.g., line edge roughness, LER). Minimal required dimensions of target elements may be derived from analysis of the line properties and uncertainty error of metrology measurements, by either CDSEM (critical dimension scanning electron microscopy) or optical systems, with corresponding targets. The importance of this analysis is emphasized in view of the finding that stochastic noise may have increased importance with when using more localized models such as CPE (correctables per exposure). The uncertainty error estimation may be used for target design, enhancement of overlay estimation and evaluation of measurement reliability in multiple contexts.
    Type: Grant
    Filed: February 27, 2018
    Date of Patent: January 26, 2021
    Assignee: KLA-Tencor Corporation
    Inventors: Evgeni Gurevich, Michael E. Adel, Roel Gronheid, Yoel Feler, Vladimir Levinski, Dana Klein, Sharon Aharon
  • Patent number: 10902089
    Abstract: The invention provides a method for generating and analyzing random sample values. The method includes generating a random population array with a distribution and generating an array of random number coefficients by decomposing the random population array distribution using mean and variance. The array of random number coefficients is normalized and the normalized samples and antithetic samples may be mapped to the distribution of the random population array. The mapped, normalized samples and mapped normalized antithetic samples are arranged into a Walsh pattern.
    Type: Grant
    Filed: May 21, 2018
    Date of Patent: January 26, 2021
    Assignee: United States of America as represented by the Secretary of the Air Force
    Inventor: Edwin E Forster
  • Patent number: 10885261
    Abstract: Patterns in an integrated circuit may be evaluated, and a semiconductor device may be fabricated based on the evaluation. The evaluation may include a extracting and superimposing first patterns corresponding to design patterns of the same shape from input layout data generated based on inspecting the integrated circuit, generating distribution data of the first patterns based on the superimposed first patterns, determining an evaluation contour of the design patterns based on an evaluation condition and the distribution data, and generating output layout data based on replacing the first patterns with second patterns each having the evaluation contour. Weak points in the integrated circuit may be detected based on the output layout data. The fabricating may include selectively incorporating an integrated circuit into a semiconductor device based on a determination that the integrated circuit includes less than a threshold quantity and/or threshold concentration of weak points.
    Type: Grant
    Filed: October 31, 2019
    Date of Patent: January 5, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-won Kim, Jae-pil Shin, Tae-heon Kim, Yong-hyeon Kim, Tae-hyun Kim, Jin-kyu Park
  • Patent number: 10878559
    Abstract: A method for evaluating an efficiency of a manual inspection for a defect pattern is provided according to an embodiment of the disclosure, which comprises: enabling an evaluation program; loading a test image automatically by the enabled evaluation program and displaying the test image in a user interface; detecting a user behavior of a user after the user watches the test image; generating original data according to the user behavior, wherein the original data reflects at least one of whether the user identifies the defect pattern in the test image and a type of the defect pattern identified by the user; and performing a quantitative operation on the original data to generate evaluation data corresponding to the efficiency of the manual inspection, wherein the evaluation data reflects an evaluation result corresponding to the efficiency of the manual inspection.
    Type: Grant
    Filed: June 27, 2019
    Date of Patent: December 29, 2020
    Assignee: UTECHZONE CO., LTD.
    Inventors: Chia-Chun Tsou, Arulmurugan Ambikapathi, Chien-Chung Lin
  • Patent number: 10877091
    Abstract: An electronic component handler that transports an electronic component to an electronic component placement unit on which the electronic component is placed includes: a transport unit that transports the electronic component; a light irradiation unit that irradiates the electronic component placement unit with light; and a vertical direction moving mechanism unit that has a guide that supports the light irradiation unit and guides the light irradiation unit in a vertical direction.
    Type: Grant
    Filed: January 24, 2019
    Date of Patent: December 29, 2020
    Inventors: Daisuke Ishida, Yasushi Mizoguchi, Shuichi Wakabayashi
  • Patent number: 10803572
    Abstract: An appearance inspection apparatus radiates light from a light source to a flat inspection surface of an object to be inspected as diffused light with an illumination device, and photographs the inspection surface with a camera. The camera and the light source are arranged in a positional relationship that satisfies ??? at any positions on the inspection surface, where ? represents an angle formed between a straight line connecting an arbitrary position on the inspection surface to the camera and a line perpendicular to the inspection surface at that position, and ? represents an incident angle of the diffused light.
    Type: Grant
    Filed: August 1, 2017
    Date of Patent: October 13, 2020
    Assignee: JTEKT CORPORATION
    Inventor: Jiro Umehara
  • Patent number: 10788761
    Abstract: A method of determining an optimal operational parameter setting of a metrology system is described. Free-form substrate shape measurements are performed. A model is applied, transforming the measured warp to modeled warp scaling values. Substrates are clamped to a chuck, causing substrate deformation. Alignment marks of the substrates are measured using an alignment system with four alignment measurement colors. Scaling values thus obtained are corrected with the modeled warp scaling values to determine corrected scaling values. An optimal alignment measurement color is determined, based on the corrected scaling values. Optionally, scaling values are selected that were measured using the optimal alignment measurement color and a substrate grid is determined using the selected scaling values. A substrate may be exposed using the determined substrate grid to correct exposure of the substrate.
    Type: Grant
    Filed: October 17, 2017
    Date of Patent: September 29, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Leon Paul Van Dijk, Victor Emanuel Calado, Xing Lan Liu, Richard Johannes Franciscus Van Haren
  • Patent number: 10775413
    Abstract: A method of increasing uniformity in light from a light source at a plurality of targets of the light includes locating a plurality of movable aperture elements between the light source and the targets. Each aperture element defines an aperture through which the light passes from the light source to an associated one of the plurality of targets associated with the aperture element along a longitudinal axis of the aperture element. The method also includes moving at least one of the aperture elements along its longitudinal axis to change a feature of light incident on the target associated with the aperture element.
    Type: Grant
    Filed: October 5, 2016
    Date of Patent: September 15, 2020
    Assignee: OmniVision Technologies, Inc.
    Inventors: Chih-Pin Jen, Ming-Chang Yang, Sheng-Kuai Yang
  • Patent number: 10778954
    Abstract: A multifocal test system is described herein. The system includes a plurality of displays located at different focal distances. Each display includes a plurality of pixels with pixel intensity values. The system includes an eye tracking system that determines eye tracking information about a position of an eye relative to the displays. A controller is configured to determine pixel intensity values based on decomposition of a scene across the plurality of displays, and the position of the eye.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: September 15, 2020
    Assignee: Facebook Technologies, LLC
    Inventors: Olivier Mercier, Yusufu Njoni Bamaxam Sulai, Kevin James MacKenzie, Marina Zannoli, James Hillis, Derek Nowrouzezahrai, Douglas Robert Lanman
  • Patent number: 10769772
    Abstract: Methods of inspecting cellular articles such as cellular ceramic articles are disclosed, wherein the methods comprise characterizing a web structure from intensity values of a digital image. One method comprising establishing an edge location for each of the walls of the web, and an edge intensity slope SE for each edge location, and then searching for a wall intensity slope SW in one of the web walls of the characterized web structure to determine the location of a web defect. Another method involves determining at least one characteristic that defines a conforming cell, then identifying a non-conforming cell region based on the at least one characteristic, and then examining the walls within the non-conforming cell region to locate the defect in one of the walls within the non-conforming cell region.
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: September 8, 2020
    Assignee: Corning Incorporated
    Inventor: Russell Wayne Madara
  • Patent number: 10755401
    Abstract: An inspection system includes one or more imaging devices and one or more processors. The imaging devices generate a first set of images of a work piece at a first position relative to the work piece and a second set of images of the work piece at a second position relative to the work piece. At least some of the images in the first and second sets are acquired using different light settings. The processors analyze the first set of images to generate a first prediction image associated with the first position, and analyze the second set of images to generate a second prediction image associated with the second position. The first and second prediction images include respective candidate regions. The processors merge the first and second prediction images to detect at least one predicted defect in the work piece depicted in at least one of the candidate regions.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: August 25, 2020
    Assignee: General Electric Company
    Inventors: Xiao Bian, Arpit Jain, David Scott Diwinsky, Bernard Patrick Bewlay, Steeves Bouchard, Jean-Philippe Choiniere, Marc-Andre Marois, Stephane Harel, John Karigiannis
  • Patent number: 10748271
    Abstract: There are provided system and method of classifying defects in a specimen. The method includes: obtaining one or more defect clusters detected on a defect map of the specimen, each cluster characterized by a set of cluster attributes comprising spatial attributes including spatial density indicative of density of defects in one or more regions accommodating the cluster, each given defect cluster being detected at least based on the spatial density thereof meeting a criterion; for each cluster, applying a cluster classifier to a respective set of cluster attributes thereof to associate the cluster with one or more labels of a predefined set of labels, wherein the cluster classifier is trained using cluster training data; and identifying DOI in each cluster by performing a defect filtration for each cluster using one or more filtering parameters specified in accordance with the label of the cluster.
    Type: Grant
    Filed: April 25, 2018
    Date of Patent: August 18, 2020
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Assaf Asbag, Orly Zvitia, Idan Kaizerman, Efrat Rosenman
  • Patent number: 10718718
    Abstract: A single-shot metrology for direct inspection of an entirety of the interior of an EUV vessel is provided. An EUV vessel including an inspection tool integrated with the EUV vessel is provided. During an inspection process, the inspection tool is moved into a primary focus region of the EUV vessel. While the inspection tool is disposed at the primary focus region and while providing a substantially uniform and constant light level to an interior of the EUV vessel by way of an illuminator, a panoramic image of an interior of the EUV vessel is captured by way of a single-shot of the inspection tool. Thereafter, a level of tin contamination on a plurality of components of the EUV vessel is quantified based on the panoramic image of the interior of the EUV vessel. The quantified level of contamination is compared to a KPI, and an OCAP may be implemented.
    Type: Grant
    Filed: September 29, 2019
    Date of Patent: July 21, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Lin Louis Chang, Shang-Chieh Chien, Shang-Ying Wu, Li-Kai Cheng, Tzung-Chi Fu, Bo-Tsun Liu, Li-Jui Chen, Po-Chung Cheng, Anthony Yen, Chia-Chen Chen
  • Patent number: 10695014
    Abstract: A system and method of X-ray imaging includes an X-ray emitter that projects X-rays. An X-ray receiver receives X-rays from the X-ray emitter to produce a plurality of projection images. A filter with at least one filter leaf absorbs at least a portion of the X-rays from the X-ray emitter to define a limited field of view within a full field of view, wherein the X-rays are attenuated in at least one attenuated portion of the full field of view. A processor reconstructs a three dimensional image based upon the projection images of the full field of view. The limited field view is located within the reconstructed three dimensional image. At least one corrective parameter is determined from the reconstructed three dimensional image. A three dimensional image is reconstructed based upon the limited field of view and the at least one corrective parameter.
    Type: Grant
    Filed: March 6, 2018
    Date of Patent: June 30, 2020
    Assignee: PaloDEx Group Oy
    Inventor: Esa Kalevi Eronen
  • Patent number: 10692188
    Abstract: An improved method for peak detection in a two-dimensional image is disclosed. In one implementation, the method includes one or more of the following steps: generating a smooth image from the two-dimensional image, detecting a plurality of local peaks in the smooth image, detecting a plurality of true peaks among the plurality of local peaks, and generating a peak-detected image from the smooth image. The smooth image includes a plurality of pixels, where each pixel of the plurality of pixels has an intensity level and an address. The address includes a row number and a column number. The peak-detected image includes a first true peaks subset from the plurality of true peaks. In one implementation, the intensity level of each true peak of the first true peaks subset is higher than an intensity threshold. The method further includes localizing at least one true peak of the first true peaks subset in the peak-detected image.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: June 23, 2020
    Assignee: TOSE'E SANAYE TASVIRBARDARI PARTO NEGAR PERSIA COMPANY LTD.
    Inventors: Navid Zeraatkar, Salar Sajedi Toighoun, Mohsen Taheri Parkoohi, Mohammad Reza Ay, Mohammad Hossein Farahani, Saeed Sarkar
  • Patent number: 10691985
    Abstract: A system includes one or more processors configured to automatically identify different distressed portions in repeating segments of a rotating body. At least one of a size and/or a shape of one or more of the distressed portions changes with respect to time. The one or more processors also are configured to determine a pattern of the different distressed portions in the repeating segments of the rotating body during rotation of the rotating body based on identifying the different distressed portions. The one or more processors also are configured to subsequently automatically identify locations of individual segments of the repeating segments in the rotating body using the pattern of the distressed portions that is determined.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: June 23, 2020
    Assignee: GENERAL ELECTRIC COMPANY
    Inventors: Ser Nam Lim, David Scott Diwinsky