Base Includes An Inorganic Compound Containing Silicon Or Metal (e.g., Glass, Ceramic, Brick, Etc.) Patents (Class 427/255.11)
  • Patent number: 7544631
    Abstract: The present invention provides for titanium oxide-based photocatalysts having a general formula of TiO2-X-?CXN? and self-cleaning materials that are prepared by substituting O of pure TiO2 with C and N. A preparation method comprising a process for forming thin films of TiO2-X-?CXN? by using gases such as Ar, N2, CO2, CO and O are used for reactive sputtering, and a process of heat treating at around 500° C., thereby crystallizing, is provided. The titanium oxide-based photocatalysts having a general formula of TiO2-X-?CXN? and self-cleaning materials according to the present invention have a smaller optical bandgap compared to pure titanium oxides, and therefore, the photocatalysts can be activated under the visible light range. In addition, they comprise only pure anatase crystallization phase, and since the crystallized particles are small in size, the efficiency and self-cleaning effect of the photocatalysts are very high.
    Type: Grant
    Filed: April 26, 2006
    Date of Patent: June 9, 2009
    Assignee: Korea Institute of Science and Technology
    Inventors: Won-Kook Choi, Yeon-Sik Jung, Dong-Heon Kang, Kyung-Ju Lee
  • Patent number: 7544410
    Abstract: A hard metal or cermet body has a 2 to 100 ?m thick first layer having a binder metal proportion of 2 to 25 mass % and up to 25 volume % of a nitride or carbonitride of one or more metals of Group IVa of the periodic system or up to 10 volume % of a carbide or carbonitride of V, Nb, Ta or Cr, balance WC, whereby the amount of nitride, carbonitride or carbide of the afore-mentioned metals amounts to at least 0.01 volume %. Under the first layer is a 2 to 40 ?m thick second layer with an enhanced nitrogen proportion relative to the first layer, is disposed. Thereunder is a transition zone with a thickness of 2 to 100 ?m in which the composition gradually changes to a homogeneous composition in the inner core of the hard metal or cermet body.
    Type: Grant
    Filed: May 14, 2004
    Date of Patent: June 9, 2009
    Assignee: Kennametal Widia Produktions GmbH & Co. KG
    Inventors: Walter Lengauer, Vera Ucakar, Klaus Dreyer, Dieter Kassel, Hans Werner Daub
  • Patent number: 7537834
    Abstract: A particle composite including carrier particles having an average diameter of less than 5 ?m. The particle composite is surrounded by a layer made of oxidic material having an irregular surface. Particles, which are made of an active material and which have an average diameter of less than 1 ?m are applied to the layer. The particle composite can be used as a filling substance in polymers and enables the content of active material for comparable properties to be reduced or improves the properties of filled materials, e.g. resistance to aging of elastomers.
    Type: Grant
    Filed: September 25, 2004
    Date of Patent: May 26, 2009
    Assignee: Carl Freudenberg KG
    Inventors: Thomas Ruehle, Dirk Schubert, Juergen Henke, Achim Gruber, Gregor Grun
  • Patent number: 7517548
    Abstract: A method of forming a ferroelectric thin film containing vinylidene fluoride homopolymer, including the steps of (i) preparing a green powder of vinylidene fluoride homopolymer of crystal form I alone or as main component by subjecting vinylidene fluoride to radical polymerization in the presence of a radical polymerization initiator, (ii) forming a thin film on a substrate surface by using vinylidene fluoride homopolymer of crystal form I alone or as main component obtained from the green powder product of vinylidene fluoride homopolymer of I-form crystal structure alone or as main component, and (iii) subjecting the thin film of vinylidene fluoride homopolymer formed in step (ii) above to polarization.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: April 14, 2009
    Assignee: Daikin Industries, Ltd.
    Inventors: Takayuki Araki, Tetsuhiro Kodani
  • Patent number: 7510742
    Abstract: A composite is described which has particular utility in the formation of components for gas turbine engines. The composite broadly comprises a substrate having a surface and at least one layer of a BN/Si3N4 coating on the substrate surface. The coating preferably is formed by alternative layers of a BN material and a Si3N4 material. The substrate may be a cloth material with fibers, such as SiC fibers, woven therein.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: March 31, 2009
    Assignee: United Technologies Corporation
    Inventor: Michael Kmetz
  • Patent number: 7510763
    Abstract: The invention relates to a transparent substrate based on glass or one or more polymers, or a ceramic or glass substrate, or a substrate made of architectural material of the type comprising a wall render, a concrete slab or block, architectural concrete, roof tile, material of cementitious composition, terracotta, slate, stone, metal surface or a fibrous substrate, based on glass of the mineral insulation wool type, or glass reinforcement yarns. This substrate is distinguished in that it is provided, on at least part of its surface, with a coating whose mesoporous structure exhibits photocatalytic properties and comprises at least partially crystallized titanium oxide. Process for manufacturing this substrate, its application in glazing, as architectural material or as mineral insulation wool is also described.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: March 31, 2009
    Assignee: Saint-Gobain Glass France
    Inventors: Lethicia Gueneau, Mauricette Rondet, Sophie Besson, Jean-Pierre Boilot, Thierry Gacoin, Clarisse Durand
  • Publication number: 20090035877
    Abstract: A method of forming a ferroelectric layer is provided. A metal-organic source gas is provided into a chamber into which an oxidation gas is provided for a first time period to form ferroelectric grains on a substrate. A ferroelectric layer is formed by performing at least twice a step of providing a metal-organic source gas into the chamber during the first time period using a pulse method to grow the ferroelectric grains.
    Type: Application
    Filed: July 31, 2008
    Publication date: February 5, 2009
    Inventors: Dong-Hyun Im, Ik-Soo Kim, Choong-Man Lee, Jang-Eun Heo, Sung-Ju Lee
  • Patent number: 7482060
    Abstract: A silicon oxycarbide coating remains hydrophilic for a significantly longer period of time, on the order of several months, when both (i) the coating index of refraction is 1.70 or more and (ii) the coating thickness is 350 ? or more.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: January 27, 2009
    Assignee: AGC Flat Glass North America, Inc.
    Inventor: Jean-Francois Oudard
  • Patent number: 7470296
    Abstract: A cutting tool insert particularly for turning of steel comprising a body and a coating with a first (innermost) layer system of at least two layers of TiCxNyOz with x+y+z?1 with a total thickness of from about 0.7 to about 4.5 ?m, a second layer system to a large extent consisting of Al2O3 and an outermost layer system comprising one or several layers in sequence of TiCxNy (x+y?1) with individual thicknesses of greater than about 0.15 to about 0.8 ?m and a layer with Al2O3 with a thickness greater than about 0.1 to about 0.4 ?m with a total thickness of the outermost layer system thinner than about 2.5 ?m and a total thickness of the coating in the range of from about 2.0 to about 12.0 ?m.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: December 30, 2008
    Assignee: Sandvik Intellectual Property AB
    Inventors: Mikael Lindholm, Markus Rodmar, Anders Jonsson
  • Patent number: 7445814
    Abstract: A method for making a porous film includes the steps of forming a cermet film and/or a ceramic film by depositing or co-depositing suitable materials on a substrate, and causing metal in the material(s) to reduce and/or to diffuse to the cermet film surface and/or the ceramic film surface to render the porous film.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: November 4, 2008
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Peter Mardilovich, Gregory S. Herman, James O'Neil
  • Patent number: 7442412
    Abstract: The disclosure relates to hydrophobic coatings for oxidized surfaces and methods of producing the same. Such coatings may be produced by applying a compound of the general formula AXn or A(R1)mXn to an oxidized surface followed by a nucleophilic compound of the general formula DR2. The processes may result in a hydrophobic unreactive organic coating that sterically inhibits access to the underlying oxidized surface or reactive groups. In selected embodiments, the hydrophobic coating may form a monolayer.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: October 28, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Seth A. Miller
  • Patent number: 7429405
    Abstract: A method for forming a coating film at part of a surface of a substrate includes, in sequence, a first step of applying a masking agent having inert particles over part of the substrate through a screen having blocked areas, a second step of depositing the coating film under at least partial vacuum over at least part of the surface covered and not covered by the masking agent, and a third step of removing the masking agent covered by the film with the aid of an aqueous fluid.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: September 30, 2008
    Assignee: AGC Flat Glass Europe SA
    Inventors: Olivier Bouesnard, Georges Pilloy, Jean-Pierre Poels
  • Publication number: 20080226839
    Abstract: A surface treatment apparatus includes: a vaporization device vaporizing a silane coupling agent, a treatment device in which a treatment object having an inorganic oriented film is arranged, into which the silane coupling agent that has been vaporized by the vaporization device is introduced, and which performs a surface treatment to the treatment object by subjecting the treatment object to the silane coupling agent; and a control device individually controlling a treatment atmosphere inside the vaporization device and a treatment atmosphere inside the treatment device.
    Type: Application
    Filed: March 13, 2008
    Publication date: September 18, 2008
    Inventor: Shinsuke Seki
  • Patent number: 7419702
    Abstract: A method for processing a substrate on a ceramic substrate heater in a process chamber. The method includes forming a protective coating on the ceramic substrate heater in the process chamber and processing a substrate on the coated substrate heater. The processing can include providing a substrate to be processed on the coated ceramic substrate heater, performing a process on the substrate by exposing the substrate to a process gas, and removing the processed substrate from the process chamber.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: September 2, 2008
    Assignees: Tokyo Electron Limited, International Business Machines Corp.
    Inventors: Kazuhito Nakamura, Cory Wajda, Enrico Mosca, Yumiko Kawano, Gert Leusink, Fenton R. McFeely, Sandra G. Malhotra
  • Publication number: 20080199612
    Abstract: A method and apparatus is provided for hydrogenation of a target, such as a polycrystalline silicon film on a glass substrate, by using an atomic hydrogen source. The target is subjected to intermittent exposure of the atomic hydrogen field of the source until at least one area of the target has been subjected to the hydrogen field for a predetermined minimum period of time. The processing area of the source established by its atomic hydrogen field is smaller than the target, and after the target is moved into the high temperature processing zone it is translated within the high temperature processing zone to intermittently process successive areas of the target until the entire target has been processed for a predetermined minimum period of time. After the entire target has been processed, the target is cooled to a predetermined lower temperature while still intermittently subjecting the target to atomic hydrogen.
    Type: Application
    Filed: June 2, 2006
    Publication date: August 21, 2008
    Inventors: Mark John Keevers, Adrian Bruce Turner
  • Patent number: 7396564
    Abstract: This invention relates to a method and apparatus for controlling the length of a carbon nanotube, in cooperation with a substrate having at least one reference level on a surface of the substrate on which at least one carbon nanotube is formed, comprising at least one positioning platform for mounting and calibrating the substrate; a discharging electrode mounted on one side of the positioning platform to cut the carbon nanotube wherein the position of the discharging electrode can be calibrated with the positioning platform; a piezoelectric actuator for calibrating the position of the discharging electrode or the height of the discharging electrode relative to the substrate reference level; a position sensor for detection of the height of the substrate; and a voltage pulse supplying means for applying a voltage pulse to the discharging electrode to cut the carbon nanotube.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: July 8, 2008
    Assignee: Industrial Technology Research Institute
    Inventors: Hung-Ming Tai, Nang-Chian Shie, Tsan-Lin Chen
  • Patent number: 7374818
    Abstract: A bond coat system for silicon based substrates wherein a compliant layer having an elastic modulus of between 30 and 130 GPa is provided between the silicon based substrate and a silicon metal containing oxygen gettering layer.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: May 20, 2008
    Assignee: United Technologies Corporation
    Inventors: Tania Bhatia, Wayde R. Schmidt, William K. Tredway, Venkata R. Vedula
  • Patent number: 7354619
    Abstract: The invention relates to a process for protecting the surface of an SiC substrate. This process comprises deposition of a temporary protection layer with a thickness equal to at least two monolayers on the surface of the substrate to be protected, the protection layer being composed of gallium nitride. Advantageously, the protection layer of gallium nitride may be obtained by depositing gallium on the surface of the substrate, followed by nitridation of the gallium layer formed. The invention also relates to an “epiready” substrate. This substrate comprises an SiC substrate for which at least one surface is covered by a temporary protection layer, the said layer being composed of GaN and being two monolayers thick.
    Type: Grant
    Filed: September 20, 2004
    Date of Patent: April 8, 2008
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Bruno Daudin, Julien Brault
  • Publication number: 20080075885
    Abstract: Method of controlling the morphology of self-assembled monolayers (SAMS) on substrates having hydrophilic surfaces. The hydrophilic surface is exposed to a fluid having a mixture of molecules which can self-assemble on the hydrophilic surface and hydrophobic molecules for a sufficient length of time so that the molecules which can self-assemble on the hydrophilic surface form a complete self-assembled monolayer. In a particular embodiment octadecylphosphonic acid (OPA) molecules have been self-assembled on oxidized substrates including but not limited to mica, silicon, sapphire, quartz and aluminum by spin-coating a solution containing the octadecylphosphonic acid (OPA) molecules and hydrophobic molecules such as chloroform or trichloroethylene under a controlled relative humidity. Control of the morphology of OPA SAMs is affected by adjusting humidity and the duration of spin-coating. Atomic force microscopy revealed that relative humidity has a profound influence on the morphology of the OPA SAMs formed.
    Type: Application
    Filed: August 17, 2004
    Publication date: March 27, 2008
    Inventors: Heng-Yong Nie, Mary Jane Walzak, Stewart N. McIntyre
  • Patent number: 7344754
    Abstract: A method of forming a metal film using a metal carbonyl compound as a material is disclosed that includes the steps of: (a) introducing a reactive gas into a space near a surface of a substrate to be processed; and (b) introducing a gaseous phase material including the metal carbonyl compound into the space on the surface of the substrate to be processed, and depositing the metal film on the surface of the substrate to be processed after step (a). Step (a) is executed in such a manner as to prevent substantial deposition of the metal film on the substrate to be processed.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: March 18, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hideaki Yamasaki, Tatsuo Hatano, Yumiko Kawano
  • Patent number: 7261919
    Abstract: A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.
    Type: Grant
    Filed: November 18, 2003
    Date of Patent: August 28, 2007
    Assignee: FLX Micro, Inc.
    Inventors: Mehran Mehregany, Christian A. Zorman, Xiao-An Fu, Jeremy L. Dunning
  • Patent number: 7189430
    Abstract: A method of controllably aligning carbon nanotubes to a template structure to fabricate a variety of carbon nanotube containing structures and devices having desired characteristics is provided. The method allows simultaneous, selective growth of both vertically and horizontally controllably aligned nanotubes on the template structure but not on a substrate in a single process step.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: March 13, 2007
    Assignee: Rensselaer Polytechnic Institute
    Inventors: Pulickel M. Ajayan, Ramanath Ganapathiraman, Anyuan Cao
  • Patent number: 7135057
    Abstract: A storage medium for gas molecules has a nanowire core and a number of organic molecules attached to the exterior surface of the nanowire. The organic molecules attached to the exterior of the nanowire are adapted to releasably hold gas molecules. Methods for making and using the invention, including the use of a silicon nanowire, are disclosed.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: November 14, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Pavel Kornilovich
  • Patent number: 7097878
    Abstract: A method employing rapid vapor deposition (RVD) deposits a dielectric material on small features of a substrate surface. The resulting dielectric film is thicker, faster growing, shows better gap fill performance and has improved film properties compared to films resulting from silicon precursors with identical alkoxy substituents on silicon. The method includes the following two principal operations: exposing a substrate surface to a metal-containing precursor gas to form a substantially saturated layer of metal-containing precursor on the substrate surface; and exposing the substrate surface to a mixed alkoxy-substituted silicon-containing precursor gas to form the dielectric film.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: August 29, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Ron Rulkens, Dennis M. Hausmann, Raihan M. Tarafdar, George D. Papasouliotis, Bunsen Nie, Adrianne K. Tipton, Jeff Tobin
  • Patent number: 7083825
    Abstract: An improved getter device and method for forming a calcium-rich getter thin film in an electronic vacuum device is disclosed. The getter device includes a powder of a Ca—Ba—Al ternary alloy composed of between 53% and 56.8% by weight of aluminum, from 36% to 41.7% by weight of calcium and from 1.5% to 11% by weight of barium. The method allows the formation of a calcium-rich getter thin film with a substantially reduced amount of released hydrogen in the vacuum device.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: August 1, 2006
    Assignee: SAES Getters S.p.A.
    Inventors: Corrado Carretti, Luca Toia
  • Patent number: 7045170
    Abstract: A method for depositing an anti-stiction coating on a MEMS device comprises reacting the vapor of an amino-functionalized silane precursor with a silicon surface of the MEMS device in a vacuum chamber. The method can further comprise cleaning the silicon surface of the MEMS device to form a clean hydroxylated silicon surface prior to reacting the precursor vapor with the silicon surface. The amino-functionalized silane precursor comprises at least one silicon atom, at least one reactive amino (or imine) pendant, and at least one hydrophobic pendant. The amino-functionalized silane precursor is highly reactive with the silicon surface, thereby eliminating the need for a post-process anneal step and enabling the reaction to occur at low pressure. Such vapor-phase deposition of the amino-functionalized silane coating provides a uniform surface morphology and strong adhesion to the silicon surface.
    Type: Grant
    Filed: April 3, 2002
    Date of Patent: May 16, 2006
    Assignee: Sandia Corporation
    Inventors: Matthew G. Hankins, Thomas M. Mayer, David R. Wheeler
  • Patent number: 7018815
    Abstract: Mesophilic methane bacteria obtained from digested sludge are acclimatized in an H2/CO2 medium and the acclimatized methane bacteria are grown on a support inclusive of inorganic nutritional salts of trace metal elements by using an immobilized bed bioreactor. The grown bacteria are allowed to metabolize a mixed gas, prepared by adding hydrogen to at least one of coal gas and biogas, into methane and at the same time, cobalamin contained in the fermented liquor is recovered as an extracellular product in the form of cyanocobalamin by using potassium cyanide to thereby produce vitamin B12 efficiently in a high content and high yield.
    Type: Grant
    Filed: June 20, 2005
    Date of Patent: March 28, 2006
    Assignee: Japan Science and Technology Corporation
    Inventors: Takaaki Maekawa, Zhen Ya Zhang
  • Patent number: 6998153
    Abstract: A method that includes placing a wafer within a process chamber, generating a nitrogen plasma that is remote from the process chamber, nitriding a surface of the wafer with the nitrogen plasma, depositing a nickel film over the nitrided silicon substrate surface, and annealing the nickel film to form NiSi.
    Type: Grant
    Filed: January 27, 2003
    Date of Patent: February 14, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Mei-Ling Chiang, Thai-Cheng Chua
  • Patent number: 6982341
    Abstract: A volatile copper aminoalkoxide complex of formula (I) can form a copper thin film having an improved quality by metal organic chemical vapor deposition (MOCVD): wherein, R1, R2, R3 and R4 are each independently C1-4 alkyl optionally carrying one or more fluorine substituents; and m is an integer in the range of 1 to 3.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: January 3, 2006
    Assignee: Korea Research Institute of Chemical Technology
    Inventors: Yunsoo Kim, Chang Gyoun Kim, Taek-Mo Chung, Sun Sook Lee, Ki-Seok An, Taek Seung Yang, Hong Suk Jang
  • Patent number: 6958172
    Abstract: Hybrid films, such as those having good abrasion-resistance and defogging properties, antireflection films including it, optical products, and methods for restoring the defogging property of the hybrid films are disclosed. The hybrid films having a defogging property may be obtained through vapor deposition of an organic compound having a hydrophilic group and a reactive group along with silicon dioxide or with silicon dioxide and aluminum oxide. The antireflection film may be formed on a substrate having the hybrid film as the outermost layer opposite to the substrate. The optical product may comprise a plastic substrate and the antireflection film having the hybrid film. A method for restoring the defogging property of the hybrid film of the optical product may include washing the hybrid film.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: October 25, 2005
    Assignee: Hoya Corporation
    Inventors: Hiroshi Shirakawa, Takeshi Mitsuishi, Kenichi Shinde
  • Patent number: 6936141
    Abstract: According to the invention semiconductor processing procedures can be applied to silicone elastomeric materials. The surface tension of the elastomeric material is changed by depositing a thin layer of silicon, silicon nitride, silicon dioxide or a combination thereof onto the elastomer's exposed surface. In the illustrated embodiment it is shown that it is possible to deposit a thin layer of silicon dioxide onto the elastomer's exposed surface through re*active sputter deposition of silicon dioxide within an argon-oxygen plasma. In another plasma fabrication procedure, the elastomer material is directionally etched using a standard RF plasma etching system and a dry chemical oxygen-Freon removal procedure, which procedure volatilizes all of the components of the polydimethylsilicone (PDMS) or GE's RTV elastomer material.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: August 30, 2005
    Assignee: California Institute of Technology
    Inventors: Axel Scherer, Mark Adams
  • Patent number: 6921556
    Abstract: A method of film deposition using a single-wafer-processing type CVD apparatus includes: (a) sealing a periphery of a susceptor to separate a reaction chamber from a wafer-handling chamber when the susceptor rises; and (b) flowing a gas from the wafer-handling chamber into the reaction chamber through at least one discharge hole formed through the susceptor via a back side and a periphery of a wafer placed on the susceptor during film deposition.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: July 26, 2005
    Assignee: ASM Japan K.K.
    Inventors: Akira Shimizu, Hideaki Fukuda, Baiei Kawano, Kazuo Sato
  • Patent number: 6881447
    Abstract: Preparation methods and stabilization processes for low k polymers that consist of sp2C—X and HC-sp3C?—X bonds. A preparation method is achieved by controlling the substrate temperature and feed rate of the polymer precursors. One stabilization process includes a post annealing of as-deposited polymer films under the presence of hydrogen under high temperatures. The reductive annealing of these films is conducted at temperatures from ?20° C. to ?50° C. to +20° C. to +50° C. of their Reversible Crystal Transformation (“CRT”) temperatures, then quenching the resulting films to ?20° C. to ?50° C. below their “CRT” temperatures. The reductive annealing is conducted before the as-deposited film was removed from a deposition system and still under the vacuum. “Re-stabilization” processes of polymer surfaces that are exposed to reactive plasma etching are also disclosed; thus, further coating by barrier metal, cap layer or etch-stop layer can be safely applied.
    Type: Grant
    Filed: April 4, 2002
    Date of Patent: April 19, 2005
    Assignee: Dielectric Systems, Inc.
    Inventors: Chung J. Lee, Atul Kumar
  • Patent number: 6861103
    Abstract: Nitroxide mediated free radical polymerization of vaporized vinyl monomers, including acrylic acid (AAc), styrene (St), N-2-(hydroxypropyl)methacrylamide (HPMA) and N-isopropyl acrylamide (NIPAAm), on silicon wafers is demonstrated. FTIR, ellipsometry and contact angle goniometry were used to characterize the chemical structures, thickness and hydrophilicity of the films. The growth of film is linearly proportional to its reaction time, leading to the easy and exact control of polymer film thickness from nanometers to submicrons. The capability of polymerizing various monomers allows us to fabricate various functional polymer brushes. The reversible thermo-responsiveness of a 200 nm thick grafted poly(NIPAAm) film in aqueous solution is demonstrated with over 50% change in thickness at its lower critical solution temperature. A tri-block copolymer of poly(AAc)-b-polySt-b-poly(HPMA) is successfully synthesized, proving the renewability of TEMPO-mediated polymerization at vapor phase.
    Type: Grant
    Filed: February 7, 2003
    Date of Patent: March 1, 2005
    Assignee: The Regents of the University of California
    Inventors: Ying Chih Chang, Jun Li, Xiaoru Chen
  • Patent number: 6854602
    Abstract: A hydrogen permselective membrane, a method of forming a permselective membrane and an apparatus comprising a permselective membrane, a porous substrate and an optional intermediate layer are described. Using chemical vapor deposition (CVD) at low reactant gas concentration, high permselectivities are achieved with minimal reduction in hydrogen permeance.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: February 15, 2005
    Assignees: Conocophillips Company, Virginia Polytechnic Institute & State University
    Inventors: Shigeo Ted Oyama, Lixiong Zang, Doohwan Lee, Doug S. Jack
  • Patent number: 6838179
    Abstract: The invention concerns glass panels comprising thin layers in particular for providing them with solar protective or low-emissive properties, and also comprising other thin layers for correcting rainbow effects induced by the former. The invention is characterized in that said glass panels comprise a glass substrate coated with an aluminum oxynitride layer, deposited by gas phase pyrolysis, and whereof the characteristics of thickness and refractive index are selected so as to attenuate colors reflected by the layer providing the glass panel with low-emissive and/or solar protective properties, layer which is deposited on the aluminum oxynitride layer.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: January 4, 2005
    Assignee: Glaverbel
    Inventor: Philippe Legrand
  • Patent number: 6818289
    Abstract: A process provides a ceramic film, such as a mesoporous silica film, on a substrate, such as a silicon wafer. The process includes preparing a film-forming fluid containing a ceramic precursor, a catalyst, a surfactant and a solvent, depositing the film-forming fluid on the substrate, and removing the solvent from the film-forming fluid on the substrate to produce the ceramic film on the substrate. The ceramic film has a dielectric constant below 2.3, a halide content of less than 1 ppm and a metal content of less than 500 ppm, making it useful for current and future microelectronics applications.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: November 16, 2004
    Assignee: Air Products and Chemicals, Inc.
    Inventors: James Edward MacDougall, Kevin Ray Heier, Scott Jeffrey Weigel, Timothy W. Weidman, Alexandros T. Demos, Nikolaos Bekiaris, Yunfeng Lu, Michael P Nault, Robert Parkash Mandal
  • Patent number: 6811580
    Abstract: The present invention relates to a cutting tool with increased tool life when machining grey cast iron. The tool comprises a solid CBN-containing body containing more than 60 vol % CBN and a coating. The coating consists of at least one layer of metal nitride, carbonitride or carbide with the metal elements selected from at least one of Ti, Nb, Hf, V, Ta, Mo, Zr, Cr, W and Al, with a total thickness of 2-7 &mgr;m and 2-8 &mgr;m of &agr;- and/or &kgr;-Al2O3. The coating is a CVD coating deposited at 900° C. or higher.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: November 2, 2004
    Assignee: Sandvik AB
    Inventor: Peter Littecke
  • Patent number: 6808747
    Abstract: A method of depositing boron carbide on an aluminum substrate, particularly useful for a plasma etch reactor having interior surfaces facing the plasma composed of boron carbide, preferably principally composed of B4C. Although in this application, the boron carbide may be a bulk sintered body, in the method of the invention it may be a layer of boron carbide coated on an aluminum chamber part. The boron carbide coating may be applied by thermal spraying, such as plasma spraying, by chemical vapor deposition, or by other layer forming technique such as a surface converting reaction. The boron carbide is highly resistant to high-density plasma etchants such as BCl3. The plasma sprayed coating is advantageously applied to only a portion of an anodized aluminum wall. The boron carbide may be sprayed over the exposed portion of an aluminum substrate over which the anodization has been removed.
    Type: Grant
    Filed: January 21, 2000
    Date of Patent: October 26, 2004
    Inventors: Hong Shih, Nianci Han
  • Patent number: 6805909
    Abstract: An oxidation-protected brake disk is made of a fiber-reinforced ceramic material. A method of producing such a brake disk comprises applying at least one paint layer to a brake disk. In order to reduce damage to the brake disk under extreme thermal stress, the brake disk is provided with a layer of a highly temperature-stable paint that is viscous at the operating temperature of the brake disk.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: October 19, 2004
    Assignee: Dr. Ing. h.c.F. Porsche AG
    Inventor: Roland Martin
  • Patent number: 6802999
    Abstract: A method is provided for the fabrication of a protective coating for a crucible with channels being formed in the coating. A material is adhered to the outer wall of the crucible to form a pattern thereon. The outer wall of the crucible along with the pattern of material adhered thereto is next coated with another material. The material used to form the pattern should extend through the outer material coating to define at least one port therein. Next, the crucible with its pattern of material and outer coating material is heated to a temperature of transformation at which the pattern of material is transformed to a fluidic state while the crucible and outer coating material maintain their solid integrity. Such transformation could also be accomplished by using a solvent that causes the pattern of material to dissolve.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: October 12, 2004
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventor: Richard N. Grugel
  • Patent number: 6797323
    Abstract: A method of forming a silicon oxide layer comprising initiating formation of a silicon oxide layer on a surface of a silicon layer by an oxidation method using wet gas at an ambient temperature at which no silicon atom is eliminated from the surface of the silicon layer, and then, forming the silicon oxide layer up to a predetermined thickness by an oxidation method using wet gas.
    Type: Grant
    Filed: November 26, 1997
    Date of Patent: September 28, 2004
    Assignee: Sony Corporation
    Inventors: Akihide Kashiwagi, Kazuhiko Tokunaga, Toshihiko Suzuki, Hideki Kimura, Toyotaka Kataoka, Atsushi Suzuki, Shinji Tanaka
  • Patent number: 6786968
    Abstract: A method for making photonic crystal structures using amorphous silicon that is temperature compatible with a wide variety of substrates. Both hydrogenated and non-hydrogenated amorphous silicon may be used.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: September 7, 2004
    Assignee: Agilent Technologies, Inc.
    Inventor: Jeremy A. Theil
  • Publication number: 20040144639
    Abstract: A method that includes placing a wafer within a process chamber, generating a nitrogen plasma that is remote from the process chamber, nitriding a surface of the wafer with the nitrogen plasma, depositing a nickel film over the nitrided silicon substrate surface, and annealing the nickel film to form NiSi.
    Type: Application
    Filed: January 27, 2003
    Publication date: July 29, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Mei-Ling Chiang, Thai-Cheng Chua
  • Patent number: 6756322
    Abstract: A method with which all semiconductor lasers can be used as products is provided by regulating reflectance variations of all the semiconductor laser end faces arranged in an electron beam deposition apparatus after completion of deposition to a predetermined range when semiconductor laser end faces are coated. An end face (3) that is placed at a position at which the film thickness is made relatively thicker than those of other coat batches due to the large flux of a deposition beam is inclined by an angle &bgr; to adjust the incident angle of the deposition beam. The relationship, actual film thickness (9b)=film thickness (9b) in direction of deposition beams central axis (8a)×cos &bgr;, is utilized to reduce the film thickness of the end face (3) to the predetermined range.
    Type: Grant
    Filed: November 28, 2001
    Date of Patent: June 29, 2004
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Masayuki Ohta
  • Patent number: 6750110
    Abstract: A method of depositing a platinum based metal film by CVD deposition includes bubbling a non-reactive gas over an organic platinum based metal precursor until the non-reactive gas is saturated with the precursor. The platinum based metal film is deposited onto a substrate in a CVD deposition chamber in the presence of both oxygen and nitrous oxide at a predetermined temperature and under a predetermined pressure. The resulting film is consistently smooth and has good step coverage.
    Type: Grant
    Filed: July 23, 1998
    Date of Patent: June 15, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Garo J. Derderian
  • Patent number: 6736942
    Abstract: Reactive foils and their uses are provided as localized heat sources useful, for example, in ignition, joining and propulsion. An improved reactive foil is preferably a freestanding multilayered foil structure made up of alternating layers selected from materials that will react with one another in an exothermic and self-propagating reaction. Upon reacting, this foil supplies highly localized heat energy that may be applied, for example, to joining layers, or directly to bulk materials that are to be joined. This foil heat-source allows rapid bonding to occur at room temperature in virtually any environment (e.g., air, vacuum, water, etc.). If a joining material is used, the foil reaction will supply enough heat to melt the joining materials, which upon cooling will form a strong bond, joining two or more bulk materials.
    Type: Grant
    Filed: May 1, 2001
    Date of Patent: May 18, 2004
    Assignee: Johns Hopkins University
    Inventors: Timothy P. Weihs, Todd Hufnagel, Omar Knio, Michael Reiss, David van Heerden, Howard Feldmesser
  • Patent number: 6709512
    Abstract: When a polycrystalline or single crystal silicon layer is grown by catalytic CVD, a catalyst having a nitride covering at least its surface is used. In case that tungsten is used as the catalyst, tungsten nitride is formed as the nitride. The nitride is made by heating the surface of the catalyst to a high temperature around 1600 to 2100° C. in an atmosphere containing nitrogen prior to the growth. When the catalyst is heated to the temperature for its use or its nitrification, it is held in a hydrogen atmosphere.
    Type: Grant
    Filed: August 29, 2001
    Date of Patent: March 23, 2004
    Assignee: Sony Corporation
    Inventors: Hisayoshi Yamoto, Hideo Yamanaka
  • Patent number: 6706324
    Abstract: A product in which at least a portion of the product has a nanocrystalline microstructure, and a method of forming the product. The method generally entails machining a body in a manner that produces chips consisting entirely of nano-crystals as a result of the machining operation imposing a sufficiently large strain deformation. The body can be formed of a variety of materials, including metal, metal alloy and ceramic materials. Furthermore, the body may have a microstructure that is essentially free of nano-crystals, and may even have a single-crystal microstructure. The chips produced by the machining operation may be in the form of particulates, ribbons, wires, filaments and/or platelets. The chips are then used to form the product. According to one aspect of the invention, the chips are consolidated to form the product, such that the product is a monolithic material that may contain nano-crystals.
    Type: Grant
    Filed: October 27, 2001
    Date of Patent: March 16, 2004
    Assignee: Purdue Research Foundation
    Inventors: Srinivasan Chandrasekar, Walter D. Compton, Thomas N. Farris, Kevin P. Trumble
  • Publication number: 20040037972
    Abstract: An electron-emitting device contains a vertical emitter electrode patterned into multiple laterally separated sections situated between the electron-emissive elements, on one hand, and a substrate, on the other hand. The electron-emissive elements comprising carbon nanotubes are grown at a temperature range of 200° C. to 600° C. compatible with the thermal stress of the underlying substrate. The electron-emissive elements are grown on a granulized catalyst layer that provides a large surface area for growing the electron-emissive elements at such low temperature ranges.
    Type: Application
    Filed: August 22, 2002
    Publication date: February 26, 2004
    Inventors: Kang Simon, Bae Craig, Kim Jung Jae