Cleaning Or Removing Part Of Substrate (e.g., Etching With Plasma, Glow Discharge, Etc.) Patents (Class 427/534)
  • Patent number: 7226869
    Abstract: Methods for forming a protective polymeric coating on a silicon or silicon-carbide electrode of a plasma processing chamber are provided. The polymeric coating provides protection to the underlying surface of the electrode with respect to exposure to constituents of plasma and gaseous reactants. The methods can be performed during a process of cleaning the chamber, or during a process for etching a semiconductor substrate in the chamber.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: June 5, 2007
    Assignee: Lam Research Corporation
    Inventors: Kenji Takeshita, Tsuyoshi Aso, Seiji Kawaguchi, Thomas McClard, Wan-Lin Chen, Enrico Magni, Michael Kelly, Michelle Lupan, Robert Hefty
  • Patent number: 7223446
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: May 29, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Mitsuhiro Ichijo
  • Patent number: 7220448
    Abstract: A glass molding die and renewing method thereof. The molding die for molding glass includes a substrate, a first noble metal layer overlying the substrate, a second noble metal layer overlying the first noble metal layer, a carbon-containing third noble metal layer overlying the second noble metal layer, and a DLC passivation film overlying the third noble metal layer. In addition, the carbon-containing third noble metal layer and the DLC passivation film can be easily renewed.
    Type: Grant
    Filed: March 22, 2004
    Date of Patent: May 22, 2007
    Assignee: Asia Optical Co., Inc.
    Inventor: Jui-Fen Pai
  • Patent number: 7204912
    Abstract: The present invention presents an improved bellows shield for a plasma processing system, wherein the design and fabrication of the bellows shield coupled to a substrate holder electrode advantageously provides protection of a bellows with substantially minimal erosion of the bellows shield.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: April 17, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Hidehito Saigusa, Taira Takase, Kouji Mitsuhashi, Hiroyuki Nakayama
  • Patent number: 7175878
    Abstract: The invention concerns a method for making an antiglare stack by vacuum evaporation on an organic substrate (1) at a temperature lower than 150° C., comprising steps which consist in depositing at least a layer of material having a refractive index different from that of MgF2 (4, 4?), preparing the surface of the thus coated substrate, and depositing an outer MgF2 layer (5) without ionic assistance. The resulting antiglare stack on organic substrate exhibits good adherence and good scratch resistance. The invention is applicable to ophthalmic lenses.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: February 13, 2007
    Assignee: Essilor International
    Inventors: Yvon Helmstetter, Jean-Daniel Bernhard, Frederic Arrouy
  • Patent number: 7169440
    Abstract: A method is provided for plasma ashing to remove photoresist remnants and etch residues that are formed during preceding plasma etching of dielectric layers. The ashing method uses a two-step plasma process involving an oxygen-containing gas, where low or zero bias is applied to the substrate in the first cleaning step to remove significant amount of photoresist remnants and etch residues from the substrate, in addition to etching and removing detrimental fluoro-carbon residues from the chamber surfaces. An increased bias is applied to the substrate in the second cleaning step to remove the remains of the photoresist and etch residues from the substrate. The two-step process reduces the memory effect commonly observed in conventional one-step ashing processes. A method of endpoint detection can be used to monitor the ashing process.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: January 30, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Vaidyanathan Balasubramaniam, Masaaki Hagiwara, Eiichi Nishimura, Kouichiro Inazawa
  • Patent number: 7150849
    Abstract: In certain example embodiments, a coated article includes respective layers including diamond-like carbon (DLC) and zirconium nitride before heat treatment (HT). During HT, the hydrogenated DLC acts as a fuel which upon combustion with oxygen produces carbon dioxide and/or water. The high temperature developed during this combustion heats the zirconium nitride to a temperature(s) well above the heat treating temperature, thereby causing the zirconium nitride to be transformed into a new post-HT layer including zirconium oxide that is scratch resistant and durable.
    Type: Grant
    Filed: February 25, 2004
    Date of Patent: December 19, 2006
    Assignee: Guardian Industries Corp.
    Inventor: Vijayen S. Veerasamy
  • Patent number: 7148156
    Abstract: A method is provided for processing a substrate including removing amorphous carbon material disposed on a low k dielectric material with minimal or reduced defect formation and minimal dielectric constant change of the low k dielectric material. In one aspect, the invention provides a method for processing a substrate including depositing at least one dielectric layer on a substrate surface, wherein the dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less, forming amorphous carbon material on the at least one dielectric layer, and removing the one or more amorphous carbon layers by exposing the one or more amorphous carbon layers to a plasma of a hydrogen-containing gas.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: December 12, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Christopher Dennis Bencher
  • Patent number: 7147795
    Abstract: A method for surface treatment includes: a first step in which a surface treatment apparatus 1 and a substrate 10 in a state where a front surface 102 of the substrate 10 faces the surface treatment apparatus 1 are conveyed to the inside of a decompression chamber to decompress a plurality of concave portions 32 (enclosed spaces); a second step in which the surface treatment apparatus 1 and the substrate 10 are brought out from the inside of the decompression chamber to environment under atmospheric pressure in a state where the substrate 10 is being attracted to the surface treatment apparatus 1 with the use of a difference between negative pressure inside the concave portions 32 and atmospheric pressure; and a third step in which the surface treatment is carried out to a back surface 101 of the substrate 10 with the substrate 10 being attracted by the surface treatment apparatus 1.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: December 12, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Hiroshi Koeda, Katsuji Arakawa, Kazufumi Oya
  • Patent number: 7144606
    Abstract: The present invention generally provides improved adhesion and oxidation resistance of carbon-containing layers without the need for an additional deposited layer. In one aspect, the invention treats an exposed surface of carbon-containing material, such as silicon carbide, with an inert gas plasma, such as a helium (He), argon (Ar), or other inert gas plasma, or an oxygen-containing plasma such as a nitrous oxide (N2O) plasma. Other carbon-containing materials can include organic polymeric materials, amorphous carbon, amorphous fluorocarbon, carbon containing oxides, and other carbon-containing materials. The plasma treatment is preferably performed in situ following the deposition of the layer to be treated. Preferably, the processing chamber in which in situ deposition and plasma treatment occurs is configured to deliver the same or similar precursors for the carbon-containing layer(s). However, the layer(s) can be deposited with different precursors.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: December 5, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Judy Huang
  • Patent number: 7144521
    Abstract: A method for etching a high aspect ratio feature through a mask into a layer to be etched over a substrate is provided. The substrate is placed in a process chamber, which is able to provide RF power at a first frequency, a second frequency different than the first frequency, and a third frequency different than the first and second frequency. An etchant gas is provided to the process chamber. A first etch step is provided, where the first frequency, the second frequency, and the third frequency are at power settings for the first etch step. A second etch step is provided, where the first frequency, the second frequency, and the third frequency are at a different power setting.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: December 5, 2006
    Assignee: Lam Research Corporation
    Inventors: Camelia Rusu, Rajinder Dhindsa, Eric A. Hudson, Mukund Srinivasan, Lumin Li, Felix Kozakevich
  • Patent number: 7141277
    Abstract: A method for preparing high-use temperature, light-weight polymer/inorganic nanocomposite materials with enhanced thermal stability and performance characteristics, which comprises treating a polymer/inorganic nanocomposite material with oxygen plasma under conditions which result in a thin, protective, ceramic-like layer at the surface of the thus-treated nanocomposite material.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: November 28, 2006
    Assignee: The United States of America as represented by the Secretary of the Air Force
    Inventors: Richard A. Vaia, Hao Fong, Jeffrey H. Sanders
  • Patent number: 7125587
    Abstract: A system and method to expose a material to an ion beam during a continuous material production process may include a vacuum fixture to form the ion beam and a slit in the fixture to allow at least a portion of the ion beam to exit the fixture through the slit. The material can be placed in contact with an exterior area of the fixture so as to cover the slit. With the material in place, the vacuum within the fixture may be maintained and the ion beam formed. The material over the slit can be exposed to the ion beam. As the continuous process moves material past the slit, the vacuum within the vacuum fixture may help to maintain the material in contact with the fixture.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: October 24, 2006
    Assignee: Varian Semiconductor Equipment Associates Inc.
    Inventor: Gary L. Viviani
  • Patent number: 7105199
    Abstract: Methods of implanting, applying, or adhering various drug molecules directly into or onto the surface of medical devices through gas cluster ion beam (GCIB) and/or monomer ion beam surface modification of the medical devices before or after depositing the various drug molecules onto the medical devices.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: September 12, 2006
    Assignee: Exogenesis Corporation
    Inventors: Stephen M. Blinn, Barry M. Zide, Vincent DiFilippo, Sean Kirkpatrick
  • Patent number: 7077889
    Abstract: A method for reducing the surface variance of a porous metal substrate. The method does not significantly reduce the bulk porosity. The method can be used to reduce the surface pore diameter. A membrane, can be deposited on the reduced variance surface to form a separation membrane.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: July 18, 2006
    Assignee: Intelligent Engery, Inc.
    Inventors: Anand Chellappa, Charles Call, Michael Powell
  • Patent number: 7022383
    Abstract: Although it is known that exchange bias can be utilized in abutted junctions for longitudinal stabilization, a relatively large moment is needed to pin down the sensor edges effectively. Due to the inverse dependence of the exchange bias on the magnetic layer thickness, a large exchange bias has been difficult to achieve by the prior art. This problem has been solved by introducing a structure in which the magnetic moment of the bias layer has been approximately doubled by pinning it from both above and below through exchange with antiferromagnetic layers. Additionally, since the antiferromagnetic layer is in direct abutted contact with the free layer, it acts directly to help stabilize the sensor edge, which is an advantage over the traditional magnetostatic pinning that had been used.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: April 4, 2006
    Assignee: Headway Technologies, Inc.
    Inventors: Yun-Fei Li, Hui-Chuan Wang, Chyu-Jiuh Torng, Cherng-Chyi Han, Mao-Min Chen
  • Patent number: 7014887
    Abstract: The present invention generally provides a method for improving fill and electrical performance of metals deposited on patterned dielectric layers. Apertures such as vias and trenches in the patterned dielectric layer are etched to enhance filling and then cleaned in the same chamber to reduce metal oxides within the aperture.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: March 21, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Barney M. Cohen, Suraj Rengarajan, Xiangbing Li, Kenny King-Tai Ngan, Peijun Ding
  • Patent number: 6977222
    Abstract: The invention saves resources and energy. A cleaning/fluid-feeding head integrates a cleaning head portion and a fluid-feeding head portion. The cleaning head portion includes an organic substance cleaning unit, an inorganic substance cleaning unit, a rinsing unit and a drying unit. The organic substance cleaning unit, inorganic substance cleaning unit and rinsing unit selectively clean pattern forming regions on a substrate by feeding thereto a first cleaning fluid, second cleaning fluid and pure water, respectively. The drying unit dries the rinsed pattern forming regions by blowing hot air thereonto. The fluid-feeding head portion selectively feeds a liquid pattern forming material to the cleaned pattern forming regions.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: December 20, 2005
    Assignee: Seiko Epson Corporation
    Inventor: Yoshiaki Mori
  • Patent number: 6972071
    Abstract: A plasma treatment system (10) and related methods for rapidly treating a workpiece (56) with ions from a plasma having an ion density that is reproducibly uniform and symmetrical. The processing chamber (12) of the plasma treatment system (10) includes a chamber (14) lid having a symmetrical array of apertures (192) and further includes a vacuum distribution baffle (180), which are both configured to uniformly disperse a process gas adjacent the surface of the workpiece (56). The uniform dispersion of process gas and a symmetrical placement of the workpiece within the chamber (12) contribute to providing a uniformly dense plasma of ions adjacent the workpiece (56). A treatment system control (304) automates the operation of the system and controls the flow of process gas, evacuation of the chamber, and the application of the plasma excitation power to minimize the length of a treatment cycle and to optimize the uniformity of the plasma treatment.
    Type: Grant
    Filed: July 10, 2000
    Date of Patent: December 6, 2005
    Assignee: Nordson Corporation
    Inventor: James Scott Tyler
  • Patent number: 6967043
    Abstract: A method of manufacturing, with high purity and high efficiency, a multi-wall carbon nanotube (10) having layers densely fitted to the center part thereof, comprising the step of leading a graphite rod (2) into plasma flame (1) generated in the atmosphere of inert gas (4) added with hydrogen to evaporate carbon so as to stack the densest multi-wall carbon nanotube (10) on the surface of the graphite rod (2).
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: November 22, 2005
    Assignees: Japan Science and Technology Agency, NEC Corporation
    Inventors: Sumio Iijima, Masako Yudasaka, Akira Koshio
  • Patent number: 6936309
    Abstract: A method for depositing a low dielectric constant film having an improved hardness and elastic modulus is provided. In one aspect, the method comprises depositing a low dielectric constant film having silicon, carbon, and hydrogen, and then treating the deposited film with a plasma of helium, hydrogen, or a mixture thereof at conditions sufficient to increase the hardness of the film.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: August 30, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Lihua Li, Tzu-Fang Huang, Li-Qun Xia, Ellie Yieh
  • Patent number: 6936135
    Abstract: A confinement ring coupling arrangement for coupling, in a plasma processing chamber, a confinement ring to a plunger. The plunger is configured to move the confinement ring to deploy and stow the confinement ring to facilitate processing of a substrate within the plasma processing chamber. The confinement ring coupling arrangement includes a hanger adapter having a locking head, the hanger adapter being configured to be coupled with the plunger. The confinement ring coupling arrangement further includes a hanging bore disposed in the confinement ring and configured to receive the locking head and to secure the locking head within the hanging bore during stowing and deployment of the confinement ring, wherein a diameter of the locking head is sufficiently smaller than a cross-section dimension of the hanging bore to prevent a sidewall of the locking head from scraping against a sidewall of the hanging bore during the stowing and deployment of the confinement ring.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: August 30, 2005
    Assignee: Lam Research Corporation
    Inventor: Jerrel K. Antolik
  • Patent number: 6929831
    Abstract: A silicon nitride film, for example, is deposited by introducing into a plasma region of a chamber a silicon containing gas, molecular nitrogen and sufficient hydrogen to dissociate the nitrogen to allow the silicon and nitrogen to react to form a silicon nitride film on a surface adjacent the plasma region. The thus deposited film may then be subjected to an activation anneal.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: August 16, 2005
    Assignee: Trikon Holdings Limited
    Inventors: Jashu Patel, Knut Beekman
  • Patent number: 6929784
    Abstract: A ClF3 gas generation system is provided with supply sources of chlorine (3) (for example a cylinder of compressed chlorine) and fluorine (4) (for example a fluorine generator) connected into a gas reaction chamber (2) enabling generation of ClF3 gas. The reaction chamber has a valved outlet (C) for the supply of the ClF3 gas to a process chamber for immediate local use.
    Type: Grant
    Filed: March 6, 2000
    Date of Patent: August 16, 2005
    Assignee: Surface Technology Systems plc
    Inventors: Jyoti Kiron Bhardwaj, Nicholas Shepherd, Leslie Michael Lea, Graham Hodgson
  • Patent number: 6926803
    Abstract: A confinement ring support assembly for coupling together a plurality of confinement rings in a plasma processing chamber. The confinement ring support assembly includes a post having first end and a second end. The post further includes a first lip having an associated first sliding surface, and a second lip having an associated second sliding surface. The first lip is disposed at a first position on the post, the second lip being disposed at a second position at a different arc relative to the first location on the post, the second position being disposed between the first position and the first end along a longitudinal axis of the post. The confinement ring support assembly further includes a first washer configured to move slidably from the first lip past the second lip toward the first end of the post.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: August 9, 2005
    Assignee: Lam Research Corporation
    Inventor: Jerrel K. Antolik
  • Patent number: 6917459
    Abstract: A method of forming a MEMS device includes providing a substructure including a base material and at least one conductive layer formed on a first side of the base material, forming a dielectric layer over the at least one conductive layer of the substructure, forming a protective layer over the dielectric layer, defining an electrical contact area for the MEMS device on the protective layer, and forming an opening within the electrical contact area through the protective layer and the dielectric layer to the at least one conductive layer of the substructure.
    Type: Grant
    Filed: June 3, 2003
    Date of Patent: July 12, 2005
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Eric L. Nikkel, Mickey Szepesi, Sadiq Bengali, Michael G. Monroe, Stephen J Potochnik
  • Patent number: 6908638
    Abstract: The purpose of the invention is to provide an organic electroluminescent element having stable luminescent characteristics with a low luminescence starting voltage and without non-luminescing black spots in the luminescing surface. The invention relates to a method of manufacturing an organic electroluminescent element and an organic electroluminescent element produced by said method, said method of manufacturing an organic electroluminescent element comprising a process for forming a positive electrode substrate by dry etching a transparent electrode in a vacuum, a process for dry washing said positive electrode substrate in a continuous vacuum without exposure to air, a process for forming an organic layer incorporating an organic luminescing layer on said positive electrode substrate, and a process for forming a negative electrode on said organic layer.
    Type: Grant
    Filed: April 28, 1998
    Date of Patent: June 21, 2005
    Assignee: Minolta Co., Ltd.
    Inventors: Hideaki Ueda, Keiichi Furukawa, Yoshihisa Terasaka
  • Patent number: 6890861
    Abstract: A ceramic part having a surface exposed to the interior space, the surface having been shaped and plasma conditioned to reduce particles thereon by contacting the shaped surface with a high intensity plasma. The ceramic part can be made by sintering or machining a chemically deposited material. During processing of semiconductor substrates, particle contamination can be minimized by the ceramic part as a result of the plasma conditioning treatment. The ceramic part can be made of various materials such as alumina, silicon dioxide, quartz, carbon, silicon, silicon carbide, silicon nitride, boron nitride, boron carbide, aluminum nitride or titanium carbide.
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: May 10, 2005
    Assignee: Lam Research Corporation
    Inventor: William Frederick Bosch
  • Patent number: 6878418
    Abstract: A system and method for improving the durability and reliability of recording media used in hard drives is disclosed. A protective overcoat made by depositing a diamond like carbon (DLC) layer over a magnetic layer and then depleting a portion of the DLC protective layer of hydrogen before it is coated with a Perfluoropolyethers (PFPE) using an in-situ vapor lubrication technique. The portion of the DLC layer which is depleted can be data zone of the media so that the lubricant-bonding ratio is higher for the landing zone than it is for the data zone.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: April 12, 2005
    Assignee: Seagate Technology LLC
    Inventors: Xiaoding Ma, Michael Joseph Stirniman, Jing Gui
  • Patent number: 6866900
    Abstract: The invention encompasses a method for sequentially processing separate sets of wafers within a chamber. Each set is subjected to plasma-enhanced deposition of material within the chamber utilizing a plasma that is primarily inductively coupled. After the plasma-enhanced deposition, and while the set remains within the chamber, the plasma is changed to a primarily capacitively coupled plasma. The cycling of the plasma from primarily inductively coupled to primarily capacitively coupled can increase the ratio of processed wafers to plasma reaction chamber internal sidewall cleanings that can be obtained while maintaining low particle counts on the processed wafers.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: March 15, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Neal R. Rueger
  • Patent number: 6857433
    Abstract: A process for cleaning a glass-coating reactor includes: (a) providing the reactor to be cleaned, wherein the reactor contains a glass substrate within a chamber and the chamber has an internal surface coated with at least one substance selected from the group consisting of Si3N4 or SiO2; (b) terminating a flow of a deposition gas to the reactor; (c) adding to the reactor at least one cleaning gas to react with the at least one substance to form at least one volatile product; and (d) removing from the reactor the at least one volatile product.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: February 22, 2005
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Philip Bruce Henderson, Mario Joseph Moniz, Andrew David Johnson, Eugene Joseph Karwacki, Jr., Richard R. Bodette, Christopher Robert Cording, Herbert David Johnson
  • Patent number: 6852647
    Abstract: A method is provided for processing a substrate including removing amorphous carbon material disposed on a low k dielectric material with minimal or reduced defect formation and minimal dielectric constant change of the low k dielectric material. In one aspect, the invention provides a method for processing a substrate including depositing at least one dielectric layer on a substrate surface, wherein the dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less, forming amorphous carbon material on the at least one dielectric layer, and removing the one or more amorphous carbon layers by exposing the one or more amorphous carbon layers to a plasma of a hydrogen-containing gas.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: February 8, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Christopher Dennis Bencher
  • Publication number: 20040251235
    Abstract: A dry cleaning process for removing native oxide at improved efficiency is disclosed. The dry cleaning process minimizes the amount of fluorine atoms absorbed on the surface of a processed substrate. Fluorine radicals are provided to the substrate together with hydrogen radicals. The substrate is processed by the reaction of the fluorine radicals and the hydrogen radicals.
    Type: Application
    Filed: October 3, 2003
    Publication date: December 16, 2004
    Applicant: Tokyo Electron Limited
    Inventors: Hiroshi Shinriki, Shintaro Aoyama
  • Patent number: 6830784
    Abstract: A substrate containing a natural polymeric material is modified by: A) treating the substrate containing the natural polymeric material with a modifying agent selected from the group consisting of organo-functional coupling agents and multi-functional amine containing organic compounds; and B) optionally exposing the substrate containing natural polymeric material with one or more treatments selected from the consisting of: i) subjecting the substrate to extraction with a solvent to reduce the content of extractable materials associated with the natural polymeric material prior to or during treatment with the modifying agent; ii) treatment with a physical field selected from static physical fields, high-frequency alternating physical fields and combinations of two or more thereof either prior to, during or after treatment with the modifying agent; and iii) oxidation of at least part of the natural polymeric material prior to or during treatment with the modifying agent.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: December 14, 2004
    Assignee: Commonwealth Scientific and Industrial Research Organisation
    Inventors: Wojciech Stanislaw Gutowski, Lee Joy Russell, Alexander Bilyk, Pamela Maree Hoobin, Sheng Li, Can Filippou, Mark Spicer
  • Publication number: 20040247797
    Abstract: A first conductive film is formed on a wiring pattern area on a plate by dropping liquid drops. A second conductive film which is electrically separated from the first conductive film is formed by discharging liquid drops outside of the wiring pattern area on the plate.
    Type: Application
    Filed: March 24, 2004
    Publication date: December 9, 2004
    Inventor: Toshimitsu Hirai
  • Patent number: 6827972
    Abstract: The invention provides a container such as a bottle or flask, made heterogeneously from a material with a barrier effect and a polymer material, characterized in that the material with a barrier effect is an amorphous carbon material with a polymer tendency which is applied as a coating on a substrate of polymer material.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: December 7, 2004
    Assignee: Sidel
    Inventors: David Darras, Jean-Michel Rius, Patrick Chollet, Naïma Boutroy, Nasser Beldi, Fabrice Oge
  • Patent number: 6824827
    Abstract: A method of surface treating a polyimide film to impart improved adhesion to metal which comprises treating the surface of a polyimide film having a biphenyltetracarboxylic acid component by contact to a solution containing potassium permanganate and/or sodium permanganate and potassium hydroxide and/or sodium hydroxide and treating said surface with an acid.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: November 30, 2004
    Assignee: Ube Industries, Ltd.
    Inventors: Shozo Katsuki, Toshihiko Anno, Osamu Nakayama, Hiroaki Yamaguchi
  • Patent number: 6821577
    Abstract: A method for depositing a conformal dielectric layer employing a dep-etch technique features selectively decreasing the deposition gas present in a process chamber where a substrate to be covered by the conformal dielectric layer is disposed. By selectively decreasing the deposition gas present in the process chamber, the concentration of a sputtering gas, from which a plasma is formed, in the process chamber is increased. It is preferred that the flow of deposition gases be periodically terminated so as to provide a sputtering gas concentration approaching 100%. In this fashion, the etch rate of a conformal dielectric layer having adequate gap-filling characteristics may be greatly increased, while allowing an increase in the deposition rate of the same.
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: November 23, 2004
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Patent number: 6821571
    Abstract: The present invention generally provides improved adhesion and oxidation resistance of carbon-containing layers without the need for an additional deposited layer. In one aspect, the invention treats an exposed surface of carbon-containing material, such as silicon carbide, with an inert gas plasma, such as a helium (He), argon (Ar), or other inert gas plasma, or an oxygen-containing plasma such as a nitrous oxide (N2O) plasma. Other carbon-containing materials can include organic polymeric materials, amorphous carbon, amorphous fluorocarbon, carbon containing oxides, and other carbon-containing materials. The plasma treatment is preferably performed in situ following the deposition of the layer to be treated. Preferably, the processing chamber in which in situ deposition and plasma treatment occurs is configured to deliver the same or similar precursors for the carbon-containing layer(s). However, the layer(s) can be deposited with different precursors.
    Type: Grant
    Filed: June 18, 1999
    Date of Patent: November 23, 2004
    Assignee: Applied Materials Inc.
    Inventor: Judy Huang
  • Patent number: 6821570
    Abstract: The present invention is directed to a method for preparing a polymer for chemical mechanical polishing of a semiconductor substrate. The method comprises providing a thermoplastic foam substrate and exposing the substrate to an initial plasma reactant to produce a modified surface thereon. The method also includes exposing the modified surface to a secondary plasma reactant to create a grafted surface on the modified surface. An electrode temperature is maintained between about 20° C. and about 100° C. during the exposures of the substrate and the modified surface.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: November 23, 2004
    Assignee: PsiloQuest Inc.
    Inventors: Yaw S. Obeng, Edward M. Yokley
  • Patent number: 6802944
    Abstract: A method of depositing a film on a substrate. In one embodiment, the method includes depositing a first portion of the film using a high density plasma to partially fill a gap formed between adjacent features formed on the substrate. The film deposition process is then stopped before or shortly after the entry of the gap pinches off and the film is etched to widen entry to the gap using a two step etching process that includes a first physical etch step that forms a plasma from a sputtering agent introduced into the processing chamber and biases the plasma towards the substrate and a subsequent chemical etch step that forms a plasma from a reactive etchant gas introduced into the processing chamber. After the etching sequence is complete, a second portion of the film is deposited over the first portion using a high density plasma to further fill the gap.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: October 12, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Farhan Ahmad, Michael Awdshiew, Alok Jain, Bikram Kapoor
  • Patent number: 6803309
    Abstract: A method for forming an adhesion/barrier liner with reduced fluorine contamination to improve adhesion and a specific contact resistance of metal interconnects including providing a semiconductor wafer having a process surface including an etched opening extending through a dielectric insulating layer thickness and in closed communication with a conductive underlayer surface; pre-heating the semiconductor wafer in a plasma reactor to a pre-heating temperature of at least about 400° C.; cleaning the etched opening according to a plasma assisted reactive pre-cleaning process (RPC) comprising nitrogen trifluoride (NF3); and, blanket depositing at least a first adhesion/barrier layer over the etched opening substantially free of fluorine containing residue.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: October 12, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Shih-Wei Chou, Chii-Ming Wu
  • Patent number: 6793978
    Abstract: The invention is based on a method for coating at least one wiper blade element (10) made of an elastomer material, in which first, the surface of the wiper blade element (10) is cleaned and activated by means of a plasma, and then in a CVD process, a coating material is brought into a plasma state and at least one protective coating (64) forms on the surface of the wiper blade element (10), where a high-frequency voltage is applied to the region of the wiper blade element (10) oriented away from the protective layer (64) by means of an electrode (56). The invention proposes that before being brought into a treatment chamber (32, 34, 36, 38, 40, 74), the wiper blade element (10) be cut to a useful length (66) from a profiled band and placed on an electrode plate (56) so that its wiper lip (18) stands approximately perpendicular to the electrode plate (56), which extends to both sides of the wiper blade element (10), and is subjected to a plasma flow (50).
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: September 21, 2004
    Assignee: Robert Bosch GmbH
    Inventors: Kurt Burger, Guenter Schneider, Klaus Burghoff, Thomas Weber, Jeanne Forget-Funk
  • Patent number: 6790476
    Abstract: A method of controlling the wetting characteristics and increasing the adhesion between a metal and an oxide layer. By introducing a negatively-charged species to the surface of an oxide layer, layer-by-layer growth of metal deposited onto the oxide surface is promoted, increasing the adhesion strength of the metal-oxide interface. The negatively-charged species can either be deposited onto the oxide surface or a compound can be deposited that dissociates on, or reacts with, the surface to form the negatively-charged species. The deposited metal adatoms can thereby bond laterally to the negatively-charged species as well as vertically to the oxide surface as well as react with the negatively charged species, be oxidized, and incorporated on or into the surface of the oxide.
    Type: Grant
    Filed: May 21, 2002
    Date of Patent: September 14, 2004
    Assignee: Sandia Corporation
    Inventors: Dwight R. Jennison, Alexander Bogicevic, Jeffry A. Kelber, Scott A. Chambers
  • Publication number: 20040175511
    Abstract: A coater having a substrate cleaning device is disclosed. Also disclosed are methods of processing substrates in a coater equipped with a substrate cleaning device. The substrate cleaning device comprises an ion gun (i.e., an ion source) that is positioned beneath a path of substrate travel (e.g., beneath a substrate support) extending through the coater and that is adapted for treating a bottom major surface of a substrate. Certain embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device. In some embodiments of this nature, the upward coating apparatus is configured for depositing a photocatalytic coating upwardly onto the bottom major surface of the substrate. Certain embodiments of the invention involve a downward coating apparatus, wherein the substrate cleaning device is further along the path of substrate travel than the downward coating apparatus.
    Type: Application
    Filed: December 31, 2003
    Publication date: September 9, 2004
    Inventor: Klaus Hartig
  • Patent number: 6787179
    Abstract: The invention provides a method for single-step surface modification, grafting and sterilization for bio-active coating on materials and biomaterials used in medical devices, such as catheters, tissue engineering scaffolds, or drug delivery carrier materials. This may include any medical device or implantable that could benefit from improved antithrombogenic and biocompatible surfaces. Other relevant device examples may include heparin or urokinase coated stents to reduce clotting and restenosis, dental or ophthamological implants. These materials may be comprised of a variety of polymeric compositions such as, polyurethane, polyester, polytetrafluoroethylene, polyethylene, polymethylmethacrylate, polyHEMA, polyvinyl alcohol, polysiloxanes, polylactic or glycolic acids, polycaprolactone, etc. The substrates can also be metal, ceramics or biologically derived materials.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: September 7, 2004
    Assignee: Ethicon, Inc.
    Inventors: Debra A. Timm, Henry K. Hui, Mark B. Roller, Mora C. Melican, Syed Hossainy
  • Patent number: 6776851
    Abstract: A method for removing chamber deposits in between process operations in a semiconductor process chamber is provided. The method initiates with depositing a fluorine containing polymer layer over an inner surface of a semiconductor process chamber where the semiconductor chamber is empty. Then, a wafer is introduced into the semiconductor process chamber after depositing the fluorine containing polymer layer. Next, a process operation is performed on the wafer. The process operation deposits a residue on the fluorine containing polymer layer covering the inner surface of the semiconductor process chamber. Then, the wafer is removed from the semiconductor process chamber. Next, an oxygen based cleaning operation is performed. The oxygen based cleaning operation liberates fluorine from the fluorine containing polymer layer to remove a silicon based residue. An apparatus configured to remove chamber deposits between process operations is also provided.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: August 17, 2004
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, John E. Daugherty, Vahid Vahedi, Saurabh J. Ullal
  • Patent number: 6773762
    Abstract: In a case where a CF film is used as an interlayer dielectric film of a semiconductor device, when a wiring of tungsten is formed, the CF film is heated to a temperature of, e.g., about 400 to 450° C. At this time, F gases are desorbed from the CF film, so that there are various disadvantages due to the corrosion of the wiring and the decrease of film thickness. In order to prevent this, thermostability is enhanced. A compound gas of C and F, e.g., C4F8 gas, and a hydrocarbon gas, e.g., C2H4 gas, are used as thin film deposition gases. These gases are activated as plasma to deposit a CF film on a semiconductor wafer 10 using active species thereof. Then, Ar gas serving as a sputtering gas is introduced to be activated as plasma, and the CF film deposited on the wafer 10 is sputtered with the Ar plasma. If the thin-film deposition process and the sputtering process are alternately repeated, weak bonds existing in the CF film are removed by sputtering.
    Type: Grant
    Filed: May 18, 2000
    Date of Patent: August 10, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Noriaki Fukiage
  • Patent number: 6767644
    Abstract: A metallized polyimide film of the present invention comprises a polyimide film 1 which has undergone surface roughening treatment to produce a surface Ra value of 2 to 10 nm, an intermediate layer 2 formed from one, or two or more elements selected from a group consisting of molybdenum, silicon and silicon monoxide, which is formed on top of the surface which has undergone surface roughening treatment with an average thickness of 5 to 50% of the aforementioned Ra value, and a conductive metal layer 4 which is formed on top of the intermediate layer 2. This construction improves the bonding strength between the polyimide film and the metal layer.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: July 27, 2004
    Assignee: Mitsubishi Shindoh Co., Ltd.
    Inventor: Masayuki Aida
  • Patent number: 6759083
    Abstract: A material having a conductive pattern, the material comprising a support and a conductive element, the conductive element being 500 nm thick or less and containing a polyanion and an intrinsically conductive polymer, characterized in that one surface of the conductive element is an outermost surface of the material and the other surface of the conductive element is contiguous with a patterned surface, the patterned surface consisting of at least two types of surface element, and those parts of the conductive element contiguous with a type A surface element exhibiting a surface resistance at least a factor of ten greater than those parts of the conductive element contiguous with a type B surface element; a material for making a conductive pattern, the material comprising a support and a conductive element, the conductive element containing a polyanion and an intrinsically conductive polymer, characterized in that one surface of the conductive element is an outermost surface of the material, the other surface
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: July 6, 2004
    Assignee: Agfa-Gevaert
    Inventors: Johan Lamotte, David Terrell