Including Insulated Gate Field Effect Transistor Having Gate Surrounded By Dielectric (i.e., Floating Gate) Patents (Class 438/201)
  • Patent number: 7557004
    Abstract: The method for fabricating the semiconductor device includes the steps of: forming an insulating film 20, a conductive film 22 and an insulating film 24 over a semiconductor substrate 10 having a first to a third region; removing an insulating film 24, the conductive film 22 and an insulating film 20 formed in the second region and the third region; forming an insulating film 38 in the second region and the third region; removing the insulating film 24 in the first region and the insulating film 38 in the third region; forming an insulating film 44 in the third region; after a conductive film 52 has been formed, patterning the conductive films 22, 52 in the first region to form a gate electrode 58; and patterning the conductive film 52 to form gate electrodes 62 in the second region and the third region while removing the conductive film 52 over the gate electrode 58.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: July 7, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Hiroyuki Ogawa, Hideyuki Kojima
  • Patent number: 7557042
    Abstract: Floating gates are formed in two separate polysilicon depositions steps resulting in distinct portions. The first formed portions are between isolation regions. A thick insulator is formed over the isolation regions and floating gate portions. The thick insulator is patterned to leave fences over the isolation regions. A thinning process, an isotropic etch in this example, is applied to these fences to make them thinner. Polysilicon sidewall spacers are formed on the sides of these fences. These sidewall spacers become the second portion of the floating gate. These second portions have the desired shape for significantly increasing the capacitance to the subsequently formed control gates, thereby reducing the gate voltage required for programming and erasing made by a relatively robust process.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: July 7, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Chi Nan Brian Li, Cheong M. Hong, Rana P. Singh
  • Patent number: 7557402
    Abstract: An embedded flash cell structure comprising a structure, a first floating gate having an exposed side wall over the structure, a second floating gate having an exposed side wall over the structure and spaced apart from the first floating gate, a first pair of spacers over the respective first floating gate and the second floating gate, a second pair of spacers at least over the respective exposed side walls of the first and second floating gates, a source area in the structure between the second pair of spacers, a plug over the source implant, and first and second control gates outboard of the first pair of spacers and exposing outboard portions of the structure and respective drain areas in the exposed outboard portions of the structure is provided. A method of forming the embedded flash cell structure is also provided.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: July 7, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Der-Shin Shyu, Hung-Cheng Sung, Chen-Ming Huang
  • Patent number: 7553719
    Abstract: A flash memory device includes a source region formed in an active region of a semiconductor substrate; a recessed region formed in the active region on either side of the source region, the recessed region including a recess surface having sidewalls; floating gates formed at the sidewalls of the recess surface by interposing a tunnel insulating film; a source line formed on the source region across the active region; and control gate electrodes formed at sidewalls of the source line across a portion of the active region where the floating gates are formed. The floating gates and the control gate electrodes are formed by anisotropically etching a conformal conductive film to have a spacer structure. Cell transistor size can be reduced by forming a deposition gate structure at both sides of the source line, and short channel effects can be minimized by forming the channel between the sidewalls of a recess surface.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: June 30, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Bum Lee
  • Patent number: 7553720
    Abstract: A non-volatile memory device includes a buffer oxide film on a substrate; a polysilicon layer on the buffer oxide film; a silicon oxy-nitride (SiON) layer on the polysilicon layer, a first insulator layer on the SiON layer, a nitride film on the first insulator, a second insulator layer on the nitride film, an electrode on the second insulator, and a source/drain in the polysilicon layer.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: June 30, 2009
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Byoung Deog Choi, Ki Yong Lee, Ho Kyoon Chung, Jun Sin Yi, Sung Wook Jung, Hyun Min Kim, Jun Sik Kim
  • Patent number: 7553729
    Abstract: A method of manufacturing a non-volatile memory device includes the steps of forming gates respectively having a structure in which a gate insulating layer, a first conductive layer, a dielectric layer, a second conductive layer and a metal-silicide layer are laminated over a semiconductor substrate, annealing the metal-silicide layer at a temperature, which is the same as or lower than an annealing temperature of the dielectric layer, forming a buffer oxide layer on the entire surface, and forming a nitride layer on the buffer oxide layer.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: June 30, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Yeol Choi
  • Patent number: 7554150
    Abstract: A non-volatile memory device includes isolation layers, a cell trench, a floating gate, a common source region and a word line. The isolation layers define an active region of a substrate. The cell trench is formed in the active region. The cell trench extends in a first direction. The floating gate is formed on the active region and in the cell trench. The common source region is formed on the active region adjacent a second side face of the floating gate and extends in a second direction substantially perpendicular to the first direction. The word line is formed on the active region, which is adjacent to a first side face of the floating gate opposite to the second side face, and the isolation layers and in the cell trench. The word line extends in the second direction.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Hong-Kook Min, Yong-Suk Choi, Hyok-Ki Kwon
  • Patent number: 7550350
    Abstract: The present disclosure relates to methods of forming a flash memory device. A plurality of cells, a plurality of select transistors, and a transistor are formed over a semiconductor substrate including a cell region and a peripheral region. An insulating layer is formed on the entire surface. Metal contact holes are etched and filled with a metal contact layer. Drain contact holes are also etched and filled with a drain contact layer. The order of the metal contact layer formation and drain contact layer formation can be reversed. A single chemical mechanical polishing step is performed to remove the top portions of the metal and drain contact layers, thereby exposing the top surface of the interlayer insulating layer and simultaneously forming both the metal and drain contacts.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: June 23, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Park
  • Patent number: 7550353
    Abstract: One embodiment of a method for forming a semiconductor device can include forming a gate pattern on a semiconductor substrate and performing a selective re-oxidation process on the gate pattern in gas ambient including hydrogen, oxygen, and nitrogen. When the gate pattern includes a tunnel insulation layer, a metal nitride layer and a metal layer, the selective re-oxidation process heals the etching damage of a gate pattern and simultaneously prevents oxidation of the metal nitride layer and a tungsten electrode.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: June 23, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Hak Lee, Woong-Hee Sohn, Jae-Hwa Park, Gil-Heyun Choi, Byung-Hee Kim, Hee-Sook Park
  • Patent number: 7550339
    Abstract: A memory cell transistor includes a high dielectric constant tunnel insulator, a metal floating gate, and a high dielectric constant inter-gate insulator comprising a metal oxide formed over a substrate. The tunnel insulator and inter-gate insulator have dielectric constants that are greater than silicon dioxide. Each memory cell has a plurality of doped source/drain regions in a substrate. A pair of transistors in a row are separated by an oxide isolation region comprising a low dielectric constant oxide material. A control gate is formed over the inter-gate insulator.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: June 23, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7544989
    Abstract: A first plurality of memory cells is in a first plane in a first column of the array. A second plurality of memory cells is in a second plane in the same column. The second plurality of memory cells are coupled to the first plurality of memory cells through a series connection of their source/drain regions.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: June 9, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 7544558
    Abstract: This invention is forming the DMOS channel after CMOS active layer before gate poly layer to make the modular DMOS process step easily adding into the sub-micron CMOS or BiCMOS process. And DMOS source is formed by implant which is separated by a spacer self-aligned to the window for DMOS body. By this method, the performance of CMOS and bipolar devices formed original CMOS or BiCMOS process keeps no change. The product design kit, such as standard cell library of CMOS and BiCMOS, can be used continuously with no change.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: June 9, 2009
    Assignee: BCD Semiconductor Manufacturing Limited
    Inventors: Chong Ren, Xian-Feng Liu, Huang Hai Tao
  • Patent number: 7541236
    Abstract: On a surface of a Si substrate, a nonvolatile memory cell, an nMOS transistor, and a pMOS transistor are formed, and thereafter an interlayer insulation film covering the nonvolatile memory cell, the nMOS transistor, and the pMOS transistor is formed. Next, in the interlayer insulation film, there are formed plural contact plugs connected respectively to a control gate of the nonvolatile memory cell, a source or a drain of the nMOS transistor, and a source or a drain of the PMOS transistor. Thereafter, there is formed a single-layer wiring connecting the control gate to the sources or drains of the nMOS transistor and the pMOS transistor via the plural contact plugs.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: June 2, 2009
    Assignee: Fujitsu Limited
    Inventors: Koji Takahashi, Shinichi Nakagawa
  • Patent number: 7541237
    Abstract: Rows of memory cells are electrically isolated from one another by trenches formed in the substrate between the rows that are filled with a dielectric, commonly called “shallow trench isolation” or “STI.” Discontinuous source and drain regions of the cells are connected together by column oriented bit lines, preferably made of doped polysilicon, that extend in the column direction on top of the substrate. This structure is implemented in a flash memory array of cells having either one floating gate per cell or at least two floating gates per cell. A process of making a dual-floating gate memory cell array includes etching the word lines twice along their lengths, once to form openings through which source and drain implants are made and in which the conductive bit lines are formed, and second to form individual floating gates with a select transistor gate positioned between them that also serves to erase charge from the adjacent floating gates.
    Type: Grant
    Filed: October 4, 2007
    Date of Patent: June 2, 2009
    Assignee: Sandisk Corporation
    Inventors: Jack H. Yuan, Jacob Haskell
  • Patent number: 7541637
    Abstract: The invention relates to a nonvolatile semiconductor storage element and an associated production and control method, the storage element includes a semiconductor substrate having a source region, a drain region and an intermediate channel region. On a first portion of the channel region, a control layer is formed and insulated from the channel region by a first insulating layer whereas respective charge storage layers are formed in a second portion of the channel region and are insulated from the channel region by a second insulating layer. On the charge storage layer, a programming layer is formed and insulated from the charge storage layer by a third insulating layer and is electrically connected to a respective source region and drain region via a respective interconnect layer.
    Type: Grant
    Filed: August 8, 2003
    Date of Patent: June 2, 2009
    Assignee: Infineon Technologies AG
    Inventors: Franz Schuler, Georg Tempel
  • Patent number: 7534690
    Abstract: Stacked gate structures for a NAND string are created on a substrate. Source implantations are performed at a first implantation angle to areas between the stacked gate structures. Drain implantations are performed at a second implantation angle to areas between the stacked gate structures. The drain implantations create lower doped regions of a first conductivity type in the substrate on drain sides of the stacked gate structures. The source implantations create higher doped regions of the first conductivity type in the substrate on source sides of the stacked gate structures.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: May 19, 2009
    Assignee: SanDisk Corporation
    Inventors: Gerrit Jan Hemink, Shinji Sato
  • Patent number: 7534625
    Abstract: A phase change material may be formed within a trench in a first layer to form a damascene memory element and in an overlying layer to form a threshold device. Below the first layer may be a wall heater. The wall heater that heats the overlying phase change material may be formed in a U-shape in some embodiments of the present invention. The phase change material for the memory element may be elongated in one direction to provide greater alignment tolerances with said heater and said threshold device.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: May 19, 2009
    Inventors: Ilya V. Karpov, Charles C. Kuo, Yudong Kim, Fabio Pellizzer
  • Patent number: 7534682
    Abstract: A semiconductor memory device includes first and second MOS transistors. The first MOS transistor is formed on a region enclosed by a first element isolating region and includes a first gate insulating film and a first gate electrode. The second MOS transistor is formed on a region enclosed by a second element isolating region and includes a second gate insulating film and a second gate electrode. The upper part of the first and second element isolating regions project from a semiconductor substrate and their corners are curved. The width from the position where the first element isolating region contacts the first gate insulating film to the top surface end of the first element isolating region is equal to the width from the position where the second element isolating region contacts the second gate insulating film to the top surface end of the second element isolating region.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: May 19, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Fumitaka Arai, Yasuhiko Matsunaga, Makoto Sakuma
  • Patent number: 7531405
    Abstract: A polycrystalline dielectric layer is formed wherein the dielectric layer comprises a first dielectric material containing an oxide or nitride and a second material contributing to less than 1% in weight to the dielectric layer, forming a non-conductive oxide or nitride having an enthalpy lower than the enthalpy of the first dielectric material such that a leakage current along grain boundaries of the first dielectric material is reduced.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: May 12, 2009
    Assignee: Qimonds AG
    Inventors: Andreas Spitzer, Elke Erben
  • Patent number: 7531403
    Abstract: SOI semiconductor components and methods for their fabrication are provided wherein the SOI semiconductor components include an MOS transistor in the supporting semiconductor substrate. In accordance with one embodiment the component comprises a semiconductor on insulator (SOI) substrate having a first semiconductor layer, a layer of insulator on the first semiconductor layer, and a second semiconductor layer overlying the layer of insulator. The component includes source and drain regions of first conductivity type and first doping concentration in the first semiconductor layer. A channel region of second conductivity type is defined between the source and drain regions. A gate insulator and gate electrode overlie the channel region. A drift region of first conductivity type is located between the channel region and the drain region, the drift region having a second doping concentration less than the first doping concentration of first conductivity determining dopant.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: May 12, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ali Icel, Qiang Chen, Mario M. Pelella
  • Publication number: 20090103363
    Abstract: A virtual ground array structure uses inversion bit lines in order to eliminate the need for implanted bit lines. As a result, the cell size can be reduced, which can provide greater densities and smaller packaging.
    Type: Application
    Filed: December 23, 2008
    Publication date: April 23, 2009
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chao-I Wu
  • Patent number: 7521318
    Abstract: A semiconductor device is disclosed, which includes a semiconductor substrate including a device region and an isolation region having an isolation trench, a gate electrode formed on the device region through a gate insulating film, a first isolation insulating film formed in the isolation trench, the first isolation insulating film having a recess, a second isolation insulating film formed on the first isolation insulating film to be filled in the recess, the second isolation insulating film having an upper surface higher than the upper surface of the semiconductor substrate, and an impurity region formed in the semiconductor substrate under the first isolation insulating film, the impurity region having a conductivity type the same as a conductivity type of the semiconductor substrate, an impurity concentration higher than an impurity concentration of the semiconductor substrate, and a width of the impurity region smaller than a width of the isolation trench.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: April 21, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Koki Ueno
  • Patent number: 7517747
    Abstract: A method of forming a semiconductor device includes forming a first dielectric layer over a semiconductor substrate, forming a plurality of discrete storage elements over the first dielectric layer, thermally oxidizing the plurality of discrete storage elements to form a second dielectrics over the plurality of discrete storage elements, and forming a gate electrode over the second dielectric layer, wherein a significant portion of the gate electrode is between pairs of the plurality of discrete storage elements. In one embodiment, portions of the gate electrode is in the spaces between the discrete storage elements and extends to more than half of the depth of the spaces.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: April 14, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ramachandran Muralidhar, Rajesh A. Rao, Michael A. Sadd, Bruce E. White
  • Patent number: 7517762
    Abstract: A fuse area of a semiconductor device capable of preventing moisture-absorption and a method for manufacturing the fuse area are provided. When forming a guard ring for preventing permeation of moisture through the sidewall of an exposed fuse opening portion, an etch stop layer is formed over a fuse line. A guard ring opening portion is formed using the etch stop layer. The guard ring opening portion is filled with a material for forming the uppermost wiring of multi-level interconnect wirings or the material of a passivation layer, thereby forming the guard ring concurrently with the uppermost interconnect wiring or the passivation layer. Accordingly, permeation of moisture through an interlayer insulating layer or the interface between interlayer insulating layers around the fuse opening portion can be efficiently prevented by a simple process.
    Type: Grant
    Filed: May 26, 2005
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-yoon Kim, Won-seong Lee, Young-woo Park
  • Publication number: 20090090952
    Abstract: A device, such as a nonvolatile memory device, and methods for forming the device in an integrated process tool are provided. The method includes depositing a tunnel oxide layer on a substrate, exposing the tunnel oxide layer to a plasma so that the plasma alters a morphology of a surface and near surface of the tunnel oxide to form a plasma altered near surface. Nanocrystals are then deposited on the altered surface of the tunnel oxide.
    Type: Application
    Filed: October 3, 2007
    Publication date: April 9, 2009
    Inventors: Christopher S. Olsen, Sean Seutter, Ming Li, Phillip Allan Kraus
  • Patent number: 7514311
    Abstract: A method of manufacturing a silicon-oxide-nitride-oxide-silicon (SONOS) memory is provided herein. In the method, a bottom silicon oxide layer is formed over a substrate. A patterned mask layer having a trench therein is formed over the bottom silicon oxide layer. A charge-trapping layer is formed over the substrate covering the surface of the trench. The charge-trapping layer is etched back to form a pair of charge storage spacers on the sidewalls of the trench. After removing the mask layer, a top silicon oxide layer is formed over the substrate covering the charge storage spacers and the bottom silicon oxide layer. A gate corresponding to the pair of charge storage spacers is formed on the top silicon oxide layer. A source/drain region is formed in the substrate on each side of the gate.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: April 7, 2009
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Sheng Wu, Da Sung
  • Patent number: 7514734
    Abstract: Hardmasks and fabrication methods are presented for producing ferroelectric capacitors in a semiconductor device, wherein a hardmask comprising aluminum oxide or strontium tantalum oxide is formed above an upper capacitor electrode material, and capacitor electrode and ferroelectric layers are etched to define a ferroelectric capacitor stack.
    Type: Grant
    Filed: September 6, 2005
    Date of Patent: April 7, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor, Theodore S. Moise
  • Patent number: 7510931
    Abstract: A method of fabricating a nonvolatile memory device includes forming a charge tunneling layer on a semiconductor substrate, forming a charge trapping layer on the charge tunneling layer, forming a first charge blocking layer on the charge trapping layer by supplying a metal source gas and a first oxidizing gas onto the charge trapping layer, forming a second charge blocking layer on the first charge blocking layer by supplying a metal source gas and a second oxidizing gas onto the first charge blocking layer, wherein the second oxidizing gas has a higher oxidizing power as compared to the first oxidizing gas, and forming a gate electrode layer on the second charge blocking layer.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: March 31, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-yeon Park, Han-mei Choi, Seung-hwan Lee, Sung-tae Kim, Young-sun Kim
  • Publication number: 20090072274
    Abstract: An integrated circuit including a first gate stack and a second gate stack and a method of manufacturing is disclosed. One embodiment provides non-volatile memory cells including a first gate stack and a gate dielectric on a first surface section of a main surface of a semiconductor substrate, and a second gate stack including a memory layer stack on a second surface section. A first pattern is transferred into the first gate stack and a second pattern into the second gate stack.
    Type: Application
    Filed: September 14, 2007
    Publication date: March 19, 2009
    Applicants: QIMONDA AG, QIMONDA FLASH GMBH
    Inventors: Roman Knoefler, Michael Specht, Josef Willer
  • Patent number: 7504687
    Abstract: Structures and methods for programmable array type logic and/or memory devices with asymmetrical low tunnel barrier intergate insulators are provided. The programmable array type logic and/or memory devices include non-volatile memory which has a first source/drain region and a second source/drain region separated by a channel region in a substrate. A floating gate opposing the channel region and is separated therefrom by a gate oxide. A control gate opposes the floating gate. The control gate is separated from the floating gate by an asymmetrical low tunnel barrier intergate insulator formed by atomic layer deposition. The asymmetrical low tunnel barrier intergate insulator includes a metal oxide insulator selected from the group consisting of Al2O3, Ta2O5, TiO2, ZrO2, Nb2O5, SrBi2Ta2O3, SrTiO3, PbTiO3, and PbZrO3.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: March 17, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Jerome M. Eldridge, Kie Y. Ahn, Leonard Forbes
  • Patent number: 7504294
    Abstract: A semiconductor device comprises a memory cell array portion and peripheral circuit portion, wherein a first insulation film including elements as main components other than nitrogen fills between the memory cell gate electrodes of the memory cell array portion, the first insulation film is formed as a liner on a sidewall of a peripheral gate electrode of the peripheral circuit portion simultaneously with the memory cell portion, and a second insulation film including nitrogen as the main component is formed on the sidewall of the peripheral gate electrode via the first insulation film, thus enabling not only the formation of the memory cell portion having high reliability, but also the formation of a peripheral circuit with good efficiency, simultaneously, and avoiding gate offset of a peripheral gate.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: March 17, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kikuko Sugimae, Hiroyuki Kutsukake, Masayuki Ichige, Michiharu Matsui, Yuji Takeuchi, Riichiro Shirota
  • Patent number: 7501319
    Abstract: A semiconductor device and a fabricating method thereof are disclosed. The semiconductor device includes polysilicon gate electrodes, a gate oxide layer, sidewall floating gates, a block oxide layer, source/drain areas, and sidewall spacers. In addition, the method includes the steps of: forming a block dielectric layer and a sacrificial layer on a semiconductor substrate; forming trenches by etching the sacrificial layer; forming sidewall floating gates on lateral faces of the trenches; forming a block oxide layer on the sidewall floating gates; forming polysilicon gate electrodes by a patterning process; removing the sacrificial layer; forming source/drain areas by implanting impurity ions into the resulting structure; injecting carriers or electric charges into the sidewall floating gates; and forming spacers on lateral faces of the polysilicon gate electrodes and the sidewall floating gates.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: March 10, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jin Hyo Jung
  • Publication number: 20090057748
    Abstract: A memory and a manufacturing method thereof are provided. The memory includes a dielectric layer, a polysilicon layer, a first buried diffusion, a second buried diffusion, a charge storage structure and a gate. The polysilicon layer is disposed on the dielectric layer and electrically connected to at least a voltage. The first buried diffusion and the second buried diffusion are separately disposed in the surface of the polysilicon layer. The charge storage structure is disposed on the polysilicon layer and positioned between the first buried diffusion and the second buried diffusion. The gate is disposed on the charge storage structure.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 5, 2009
    Applicant: Macronix International Co., Ltd.
    Inventors: Erh-Kun Lai, Hang-Ting Lue, Kuang-Yeu Hsieh
  • Patent number: 7498217
    Abstract: In a method of manufacturing a semiconductor device such as a SONOS type semiconductor device, a trench is formed on a substrate. An isolation layer protruding from the substrate is formed to fill the trench. After a first layer is formed on the substrate, a preliminary second layer pattern is formed on the first layer. The preliminary second layer pattern has an upper face substantially lower than or substantially equal to an upper face of the isolation layer. A third layer is formed on the preliminary second layer and the isolation layer. A fourth layer is formed on the third layer. The fourth layer, the third layer, the preliminary second layer pattern and the first layer are partially etched to form a gate structure on the substrate. Source/drain regions are formed at portions of the substrate adjacent to the gate structure.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: March 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Min Oh, Jeong-Nam Han, Chang-Ki Hong, Kun-Tack Lee, Dae-Hyuk Kang, Woo-Gwan Shim, Jong-Won Lee
  • Patent number: 7494860
    Abstract: In a nonvolatile memory using floating gates to store charge, individual floating gates are L-shaped. Orientations of L-shaped floating gates may alternate in the bit line direction and may also alternate in the word line direction. L-shaped floating gates are formed by etching conductive portions using etch masks of different patterns to obtain floating gates of different orientations.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: February 24, 2009
    Assignee: SanDisk Corporation
    Inventor: Nima Mokhlesi
  • Patent number: 7494861
    Abstract: MOSFET devices suitable for operation at gate lengths less than about 40 nm, and methods of their fabrication is being presented. The MOSFET devices include a ground plane formed of a monocrystalline Si based material. A Si based body layer is epitaxially disposed over the ground plane. The body layer is doped with impurities of opposite type than the ground plane. The gate has a metal with a mid-gap workfunction directly contacting a gate insulator layer. The gate is patterned to a length of less than about 40 nm, and possibly less than 20 nm. The source and the drain of the MOSFET are doped with the same type of dopant as the body layer. In CMOS embodiments of the invention the metal in the gate of the NMOS and the PMOS devices may be the same metal.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: February 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Jack Oon Chu, Bruce B. Doris, Meikei Ieong, Jing Wang
  • Publication number: 20090045447
    Abstract: Methods and devices are disclosed, such as those involving forming a charge trap for, e.g., a memory device, which can include flash memory cells. A substrate is exposed to temporally-separated pulses of a titanium source material, a strontium source material, and an oxygen source material capable of forming an oxide with the titanium source material and the strontium source material to form the charge trapping layer on the substrate.
    Type: Application
    Filed: August 17, 2007
    Publication date: February 19, 2009
    Applicant: Micron Technology, Inc.
    Inventors: Nirmal Ramaswamy, Gurtej Sandhu, Bhaskar Srinivasan, John Smythe
  • Patent number: 7491597
    Abstract: Provided is a flash memory, and more particularly, to a method and structure for erasing flash blocks based on back-bias. The method comprises the steps of forming a flash block on a silicon on insulator (SOI) substrate and forming a body-electrode on back side of the silicon on insulator (SOI) substrate.
    Type: Grant
    Filed: April 26, 2006
    Date of Patent: February 17, 2009
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Yang-Kyu Choi, Hyunjin Lee
  • Patent number: 7488634
    Abstract: A method for fabricating a flash memory device is disclosed that improves hot carrier injection efficiency by forming a gate after forming source and drain implants using a sacrificial insulating layer pattern, which includes forming a sacrificial insulating pattern layer over a flash memory channel region of a semiconductor substrate; forming source and drain regions in the semiconductor substrate by ion implantation using the sacrificial insulating pattern layer as a mask; removing portions of the sacrificial insulating pattern layer; sequentially forming an ONO-type dielectric layer and a gate material layer; selectively etching the gate material layer and at least part of the gate dielectric layer to form a gate; and forming gate sidewall spacers at sides of the gate.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: February 10, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Eun Jong Shin
  • Patent number: 7488637
    Abstract: A CMOS image sensor and a method for forming the same are provided. According to the method, a gate insulating layer and a doped polysilicon layer which are sequentially stacked on a substrate are patterned to form a transfer gate and a reset gate set apart from each other. A floating diffusion layer between the transfer gate and the reset gate, a light receiving element at a side of the transfer gate away from and opposite to the floating diffusion layer and a source/drain region at a side of the reset gate away from and opposite to the floating diffusion layer are formed. An insulation layer and a mold layer are sequentially formed on an entire surface of the substrate, and the mold layer is planarized until the insulation layer is exposed. The exposed insulation layer is removed to further expose an upper surface of the gates. A selective silicidation process is carried out using a metal gate layer to form a metal gate silicide on the exposed gate.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: February 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong-Chae Kim
  • Patent number: 7485542
    Abstract: A semiconductor device can be fabricated by forming a floating gate layer over a semiconductor body. The floating gate layer is at least partially arranged over an insulation region in the semiconductor body. The floating gate layer is patterned to expose a portion of the insulation region. A recess is formed in a portion of the insulation region exposed by the patterned floating gate layer. A conductor is deposited within the recess. The conductor serves as a buried bitline. An insulator can then be formed within the recess over the conductor.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: February 3, 2009
    Assignee: Infineon Technologies AG
    Inventors: Achim Gratz, Mayk Roehrich, Veronika Polei
  • Patent number: 7485531
    Abstract: A method of fabricating a non-volatile memory is provided. A stacked structure is formed over a substrate, and the stacked structure has a gate dielectric layer and a floating gate thereon. A first dielectric layer, a second dielectric layer and a third dielectric layer are respectively formed over the top and the sidewalls of the stacked structure and the exposed substrate. A charge storage layer covers over the top and sidewalls of the stacked structure. Also, a pair of auxiliary gates is formed over the substrate beside the charge storage layer, and a gap is between the auxiliary gates and the charge storage layer.
    Type: Grant
    Filed: June 8, 2007
    Date of Patent: February 3, 2009
    Assignee: Macronix International Co., Ltd
    Inventors: Ming-Chang Kuo, Chao-I Wu
  • Patent number: 7482623
    Abstract: An organic semiconductor device includes a substrate, a gate electrode formed directly on the substrate , gate insulating film formed directly on the gate electrode, a source electrode and a drain electrode formed directly on the gate insulating film, an organic semiconductor layer formed directly on the source electrode and the drain electrode, and a voltage control layer disposed directly between the gate insulating film and the organic semiconductor layer and directly contacting the source electrode and the drain electrode, wherein the voltage control layer gives an ambipolar characteristic to the organic semiconductor layer.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: January 27, 2009
    Assignee: Seiko Epson Corporation
    Inventors: Takao Nishikawa, Yoshihiro Iwasa, Shin-ichiro Kobayashi, Taishi Takenobu
  • Patent number: 7479434
    Abstract: A semiconductor device includes a gate structure formed on a substrate. The gate structure includes an uppermost first metal silicide layer pattern having a first thickness. Spacers are formed on sidewalls of the gate structure. One or more impurity regions are formed in the substrate adjacent to at least one sidewall of the gate structure. A second metal silicide layer pattern, having a second thickness thinner than the first thickness, is formed on the one or more impurity regions.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: January 20, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Jo Kang, In-Sun Park, Dae-Joung Kim
  • Patent number: 7476582
    Abstract: A non-volatile semiconductor memory device which simultaneously possesses a non-volatile memory cell region which possesses an isolating insulation film which has been formed selectively within a semiconductor substrate, which also possesses a first electroconductive film (floating gate electrode) via a first gate insulating film which has been formed on the semiconductor substrate surface, and which also possesses a metal film (control gate electrode) via a second gate insulating film which has been formed above said electroconductive film and a peripheral transistor region which possesses a metal film (gate electrode) via a third gate insulating film which has been formed above the semiconductor substrate surface.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: January 13, 2009
    Assignee: Fujitsu Limited
    Inventors: Shin-ichi Nakagawa, Mitsutera Iijima
  • Patent number: 7476583
    Abstract: An insulating film provided below a floating gate electrode includes a first insulating film located at both end portions below the floating gate electrode, and a second insulating film sandwiched between the first insulating films and located in a middle portion below the floating gate electrode. The first insulating film and the second insulating film are formed in separate steps, and the first insulating film is thicker than the second insulating film. With this structure, when an insulating film is provided between the floating gate electrode and a silicon substrate to have a thickness more increased at its end portion than at its middle portion, the thickness can be increased more freely and a degree of the increase can be controlled more readily.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: January 13, 2009
    Assignee: Renesas Technology Corp.
    Inventor: Takashi Terauchi
  • Patent number: 7473599
    Abstract: A method for manufacturing a memory unit capable of storing multibits binary information. A gate is formed on a dielectric layer over a semiconductor substrate. Next, a first etching is performed to etch the semiconductor substrate by using the gate acting as an etching mask to remove exposed surface of the dielectric layer. Subsequently, a first oxide layer is conformally formed on the gate and the semiconductor substrate. An charge-trapping layer is conformally formed on the first oxide layer, and subsequently a second oxide layer is conformally formed on the isolating layer. Next, a second etching is performed to etch the second oxide layer and the charging-trapping layer to form sandwich spacers composed of the second oxide layer/the isolating layer/the first oxide layer on the substrate and the gate sidewall.
    Type: Grant
    Filed: January 18, 2007
    Date of Patent: January 6, 2009
    Inventor: Erik S. Jeng
  • Patent number: 7470947
    Abstract: A semiconductor memory includes memory cell transistors comprising a tunnel insulating film, a floating gate electrode, a first insulating film, a control gate electrode, and a first metal salicide film; low-voltage transistors comprising a first p-type source region and a first p-type drain region, a first gate insulating film, and a first gate electrode of an n conductivity type having the same dose of a first p-type impurity as with the first p-type source region; and high-voltage transistors comprising a second p-type source region and a second p-type drain region, a second gate insulating film thicker than the first gate insulating film, and a second gate electrode of an n conductivity type having the same dose of a second p-type impurity as with the second p-type source region.
    Type: Grant
    Filed: September 15, 2005
    Date of Patent: December 30, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masato Endo
  • Patent number: 7465630
    Abstract: A method for manufacturing a flash memory device including the steps of forming a gate oxide film for high voltage on the whole surface of a semiconductor substrate on which a cell region, a low voltage region and a high voltage region have been formed, etching the gate oxide film for high voltage formed in the cell region and the low voltage region by a predetermined depth, by forming photoresist patterns to expose the gate oxide film for high voltage formed in the cell region and the low voltage region, and performing a wet etching process using the photoresist patterns as an etching mask, removing the entire gate oxide film for high voltage formed in the cell region and the low voltage region, by performing a cleaning process on the resulting structure, removing the photoresist patterns, forming a floating gate electrode and a control gate electrode, by sequentially forming a tunnel oxide film, a first polysilicon film, a second polysilicon film, a dielectric film, a third polysilicon film and a metal sili
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: December 16, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Bok Lee
  • Patent number: 7462529
    Abstract: A semiconductor nonvolatile memory device for storing multi-bit data has a memory cell having a source region S and a drain region D formed at the surface of a semiconductor substrate, a gate insulator film and a control gate CG formed on a channel region CH between the source region S and the drain region D and a nonconductive trap gate in the gate insulator film. An indentation is provided at the surface of the semiconductor substrate covering a region from a position in the vicinity of the drain region in the channel region to the drain region. By providing the indentation on the drain region side of the channel region, the trap gate is positioned in the direction of a channel current flowing from the source region S to the drain region D. Then, a charge having run through the channel region CH is injected efficiently into the trap gate on the indentation.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: December 9, 2008
    Assignee: Spansion LLC
    Inventor: Tsutomu Nakai