Including Insulated Gate Field Effect Transistor Having Gate Surrounded By Dielectric (i.e., Floating Gate) Patents (Class 438/201)
  • Patent number: 7456060
    Abstract: A nonvolatile memory device includes a floating gate formed on a tunnel oxide layer that is on a semiconductor substrate. The device also includes a drain region formed in the substrate adjacent to one side of the floating gate, a source region formed adjacent to another side of the floating gate. The source region is apart from the floating gate, and an inter-gate insulating layer formed on a portion of an active region between the source region and the floating gate and on a sidewall of the floating gate directing toward the source region, and on a sidewall of the floating gate directing toward the drain region. The device includes a word line formed over the floating gate and being across the substrate in one direction, and a field oxide layer interposing between the word line and the source region.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: November 25, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Heong Jin Kim
  • Patent number: 7445978
    Abstract: An example process to remove spacers from the gate of a NMOS transistor. A stress creating layer is formed over the NMOS and PMOS transistors and the substrate. In an embodiment, the spacers on gate are removed so that stress layer is closer to the channel of the device. The stress creating layer is preferably a tensile nitride layer. The stress creating layer is preferably a contact etch stop liner layer. In an embodiment, the gates, source and drain region have an silicide layer thereover before the stress creating layer is formed. The embodiment improves the performance of the NMOS transistors.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: November 4, 2008
    Assignee: Chartered Semiconductor Manufacturing, Ltd
    Inventors: Young Way Teh, Yong Meng Lee, Chung Woh Lai, Wenhe Lin, Khee Yong Lim, Wee Leng Tan, John Sudijono, Hui Peng Koh, Liang Choo Hsia
  • Patent number: 7439567
    Abstract: An array of memory cells with non-volatile memory transistors having a compact arrangement of diagonally symmetric floating gates. The floating gates have portions extending in both X and Y directions, allowing them to be charged through a common tunnel oxide stripe that runs under a portion of each, for example a portion running in the X-direction while the two Y-direction portions serve to establish a channel. Shared source/drain regions are established between and in proximity to the Y-direction portions to define two non-volatile memory transistors in each memory cell. Memory cells are replicated in the word line direction and then mirrored with respect to the word line to form the next row or column. This geometry is contactless because the word line and source/drain regions are all linear throughout the array so that electrical contact can be established outside of the array of cells. Each transistor can be addressed and thus programmed and erased or pairs of transistors in a line can be erased, i.e.
    Type: Grant
    Filed: August 9, 2006
    Date of Patent: October 21, 2008
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7439157
    Abstract: A method includes removing a portion of a substrate to define an isolation trench; forming a first dielectric layer on exposed surfaces of the substrate in the trench; forming a second dielectric layer on at least the first dielectric layer, the second dielectric layer containing a different dielectric material than the first dielectric layer; depositing a third dielectric layer to fill the trench; removing an upper portion of the third dielectric layer from the trench and leaving a lower portion covering a portion of the second dielectric layer; oxidizing the lower portion of the third dielectric layer after removing the upper portion; removing an exposed portion of the second dielectric layer from the trench, thereby exposing a portion of the first dielectric layer; and forming a fourth dielectric layer in the trench covering the exposed portion of the first dielectric layer.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Zailong Bian, John Smythe, Janos Fucsko, Michael Violette
  • Patent number: 7436017
    Abstract: Methods of manufacturing a semiconductor integrated circuit using selective disposable spacer technology and semiconductor integrated circuits manufactured thereby: The method includes forming a plurality of gate patterns on a semiconductor substrate. Gap regions between the gate patterns include first spaces having a first width and second spaces having a second width greater than the first width. Spacers are formed on sidewalls of the second spaces, and spacer layer patterns filling the first spaces are also formed together with the spacers. The spacers are selectively removed to expose the sidewalls of the first spaces. As a result, the semiconductor integrated circuit includes wide spaces enlarged by the removal of the spacers and narrow and deep spaces filled with the spacer layer patterns.
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: October 14, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Eun Lee, Yun-Heub Song
  • Patent number: 7432158
    Abstract: A method of making a semiconductor device includes a substrate having a semiconductor layer having a first portion for non-volatile memory and a second portion exclusive of the first portion. A first dielectric layer is formed over the semiconductor layer. A first plurality of nanoclusters is formed over the first portion and a second plurality of nanoclusters is formed over the second portion. A layer of nitrided oxide is formed around each nanocluster of the first plurality and the second plurality of nanoclusters. Remote plasma nitridation is performed on the layers of nitrided oxide of the first plurality of nanoclusters. The nanoclusters are removed from the second portion. A second dielectric layer is formed over the semiconductor layer. A conductive layer is formed over the second dielectric layer.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: October 7, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Rajesh A. Rao, Tien Ying Luo, Ramachandran Muralidhar, Robert F. Steimle, Sherry G. Straub
  • Patent number: 7429511
    Abstract: A method of forming a tunneling insulating layer having a size smaller than the size obtained by the resolution of a photolithography process is provided. The method includes the steps of forming a first insulating layer and a second insulating layer on a substrate, forming a re-flowable material layer pattern to re-flow the re-flowable material layer pattern, removing the second insulating layer and the first insulating layer to expose the substrate, and forming a tunneling insulating layer.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: September 30, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Weon-Ho Park, Tea-Kwang Yu, Kyoung-Hwan Kim, Kwang-Tae Kim
  • Patent number: 7425482
    Abstract: A non-volatile memory device and a method for fabricating the same are provided. The method includes: forming a plurality of gate structures on a substrate, each gate structure including a first electrode layer for a floating gate; forming a first insulation layer covering the gate structures and active regions located at each side of the gate structures; forming a second electrode layer over the first insulation layer; and forming a plurality of control gates on the active regions located at each side of the gate structures by performing an etch-back process to the second electrode layer.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: September 16, 2008
    Assignee: Magna-Chip Semiconductor, Ltd.
    Inventor: Yong-Sik Jeong
  • Patent number: 7422939
    Abstract: A semiconductor device with a one-time programmable (OTP) ROM disposed over a semiconductor substrate including a memory cell area and a peripheral circuit area includes a MOS transistor and an OTP ROM capacitor. The MOS transistor has a floating gate electrode and is disposed at the memory cell area. The OTP ROM capacitor has a lower electrode, an upper intermetal dielectric, and an upper electrode which are stacked in the order named. The OTP ROM capacitor is disposed on the MOS transistor, and the floating gate electrode and the lower electrode are connected by a floating gate plug to constitute an electrically insulated conductive structure. The upper intermetal dielectric is made of at least one selected from the group consisting of silicon oxide, silicon nitride, and silicon oxynitride and may be disposed on an entire surface of the semiconductor substrate. A capacitor formed together with the OTP ROM is disposed at the peripheral circuit region.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: September 9, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Myoung-Soo Kim
  • Patent number: 7419865
    Abstract: The invention includes methods of forming memory circuitry. In one implementation, a semiconductor substrate includes a pair of word lines having a bit node received therebetween. A bit node contact opening is formed within insulative material over the bit node. Sacrificial plugging material is formed within the bit node contact opening between the pair of word lines. Sacrificial plugging material is removed from the bit node contact opening between the pair of word lines, and it is replaced with conductive material that is in electrical connection with the bit node. Thereafter, the conductive material is formed into a bit line.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: September 2, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, Byron N. Burgess
  • Patent number: 7416942
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes successively forming a first silicon film and a mask film above a semiconductor substrate through a gate insulating film, forming a plurality of trenches in the first silicon film and in the mask film to a depth to reach the semiconductor substrate, filling the plurality of trenches with the silicon oxide film, removing the mask film to expose the first silicon film existing between the silicon oxide films, selectively growing a second silicon film on the first silicon film, planarizing the second silicon film using an alkaline slurry exhibiting a pH of 13 or less and containing abrasive grains and a cationic surfactant, thereby obtaining a floating gate electrode film comprising the first and second silicon films, forming an interelectrode insulating film on the entire surface, and forming a control gate electrode film on the interelectrode insulating film.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: August 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Shinichi Hirasawa, Atsushi Shigeta, Kiyotaka Miyano, Takeshi Nishioka, Hiroyuki Yano
  • Patent number: 7416935
    Abstract: A method of manufacturing a nonvolatile semiconductor memory device, including forming a gate insulating film, a first conductive layer providing floating gates and a mask, in that order, on a semiconductor substrate, forming a plurality of element-isolating regions in the mask layer, first conductive layer, gate insulating film and semiconductor substrate; forming first trenches in parts of the first conductive layer separated by the element-isolating region; forming inter-gate insulating films on sides of each floating gate; forming control gates in the first trenches; making second trenches in parts of the mask layer and first conductive layer and in adjacent parts of the element-isolating regions; forming conductive members in the second trenches, wherein a top of the conductive members is at the same level as an upper surface of the mask layer; and removing parts of the first conductive layer and the gate insulating film exclusive of the conductive members.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: August 26, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Makoto Sakuma, Fumitaka Arai
  • Patent number: 7411243
    Abstract: A nonvolatile semiconductor device and a method of fabricating the same are provided. The nonvolatile semiconductor device includes a semiconductor body formed on a substrate to be elongated in one direction and having a cross section perpendicular to a main surface of the substrate and elongated direction, the cross section having a predetermined curvature, a channel region partially formed along the circumference of the semiconductor body, a tunneling insulating layer disposed on the channel region, a floating gate disposed on the tunneling insulating layer and electrically insulated from the channel region, an intergate insulating layer disposed on the floating gate, a control gate disposed on the intergate insulating layer and electrically insulated from the floating gate, and source and drain regions which are aligned with both sides of the control gate and formed within the semiconductor body.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: August 12, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Sam Park, Seung-Beom Yoon, Jeong-Uk Han, Sung-Taeg Kang, Seung-Jin Yang
  • Patent number: 7402480
    Abstract: The individual performance of various transistors is optimized by tailoring the thickness of the gate oxide layer to a particular operating voltage. Embodiments include forming transistors with different gate oxide thicknesses by initially depositing one or more gate oxide layers with intermediate etching to remove the deposited oxide from active regions wherein transistors with relatively thinner gate oxides are to be formed, and then implementing one or more thermal oxidation steps. Embodiments include forming semiconductor devices comprising transistors with two different gate oxide thicknesses by initially depositing an oxide film, selectively removing the deposited oxide film from active areas in which low voltage transistors having a relatively thin gate oxide are to be formed, and then implementing thermal oxidation.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: July 22, 2008
    Assignee: Linear Technology Corporation
    Inventors: François Hébert, Salman Ahsan
  • Patent number: 7402482
    Abstract: A memory array has memory elements of identical topology or footprint arranged in rows and columns. Some of the memory elements are EEPROM cells and other memory elements are read only memory cells but all are made using a mask set having the same length and width dimensions. In the mask set for EEPROMs a principal mask is used for formation of a depletion implant. In the case of one type of read-only memory element, this mask is mainly blocked, leading to formation of a transistor with a non-conductive channel between source and drain. In the case of another read only memory element, the same mask is unblocked, leading to formation of a transistor with a highly conductive or almost shorted channel between source and drain. These two read only memory elements are designated as logic one and logic zero. By having rows of read-only memory elements with rows of EEPROMs on the same chip, a more versatile memory array chip may be built without sacrificing chip space.
    Type: Grant
    Filed: February 15, 2006
    Date of Patent: July 22, 2008
    Assignee: Atmel Corporation
    Inventor: Albert S. Weiner
  • Patent number: 7402492
    Abstract: In a method of manufacturing a memory device having improved erasing characteristics, the method includes sequentially forming a tunneling oxide layer, a charge storing layer, and a blocking oxide layer on a semiconductor substrate; annealing the semiconductor substrate including the tunneling oxide layer, the charge storing layer, and the blocking oxide layer under a gas atmosphere so that the blocking oxide layer has a negative fixed oxide charge; forming a gate electrode on the blocking oxide layer with the negative fixed oxide charge and etching the tunneling oxide layer, the charge storing layer, and the blocking oxide layer to form a gate structure; and forming a first doped region and a second doped region in the semiconductor substrate at sides of the gate structure by doping the semiconductor substrate with a dopant.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: July 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-hun Jeon, Kyu-sik Kim, Chung-woo Kim, Sung-ho Park, Yo-sep Min, Jeong-hee Han
  • Patent number: 7402490
    Abstract: To manufacture a memory device, a gate dielectric layer is formed over a semiconductor body and a gate electrode layer is formed over the gate dielectric layer. The gate electrode layer is structured to form a gate electrode with sidewalls. An etching process is performed to remove parts of the gate dielectric layer from beneath the gate electrode on opposite sides of the gate electrode. Boundary layers, e.g., oxide layers, are formed on an upper surface of the semiconductor body and a lower surface of the gate electrode adjacent where the gate dielectric has been removed thereby leaving spaces. Charge-trapping layer material can then be deposited to fill the spaces. Source and drain regions are then formed in the semiconductor body adjacent the gate electrode.
    Type: Grant
    Filed: October 19, 2005
    Date of Patent: July 22, 2008
    Assignee: Infineon Technologies AG
    Inventors: Thomas Mikolajick, Hans Reisinger, Josef Willer, Corvin Liaw
  • Patent number: 7402483
    Abstract: A multi-bridge-channel MOSFET (MBCFET) may be formed by forming a stacked structure on a substrate that includes channel layers and interchannel layers interposed between the channel layers. Trenches are formed by selectively etching the stacked structure. The trenches run across the stacked structure parallel to each other and separate a first stacked portion including channel patterns and interchannel patterns from second stacked portions including channel and interchannel layers remaining on both sides of the first stacked portion. First source and drain regions are grown using selective epitaxial growth. The first source and drain regions fill the trenches and connect to second source and drain regions defined by the second stacked portions. Marginal sections of the interchannel patterns of the first stacked portion are selectively exposed. Through tunnels are formed by selectively removing the interchannel patterns of the first stacked portion beginning with the exposed marginal sections.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: July 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-jung Yun, Sung-min Kim, Sung-young Lee
  • Patent number: 7399675
    Abstract: An electronic device can include an NVM array, wherein portions of word lines are formed within trenches. Insulating features are formed over heavily doped regions within the substrate. In one embodiment, charge storage stacks and a control gate electrode layer can be formed and substantially fill the trench. The insulating features help to reduce capacitive coupling between the heavily doped regions and the control gate electrode layer. In a particular embodiment, the insulating features are recessed from a top surface of a layer outside the trenches. The control gate electrode layer can form a substantially continuous electrical path along the lengths of the word lines. This particular embodiment substantially eliminates the formation of stringers or other residual etching artifacts from the control gate electrode layer within the array. A process can be performed to form the electronic device.
    Type: Grant
    Filed: March 14, 2005
    Date of Patent: July 15, 2008
    Assignee: Freescale Semiconductor, Inc
    Inventors: Gowrishankar L. Chindalore, Craig T. Swift
  • Patent number: 7397079
    Abstract: A non-volatile memory device includes a control gate electrode disposed on a substrate with a first insulation layer interposed therebetween and a floating gate disposed in a hole exposing substrate through the control gate electrode and the first insulation layer. A second insulation layer is interposed between the floating gate and the substrate, and between the floating gate and the control gate.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: July 8, 2008
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Yong-Suk Choi, Seung-Beom Yoon, Yong-Tae Kim, Jin-Woo Kim
  • Patent number: 7393737
    Abstract: A semiconductor device which, in spite of the existence of a dummy active region, eliminates the need for a larger chip area and improves the surface flatness of the semiconductor substrate. In the process of manufacturing it, a thick gate insulating film for a high voltage MISFET is formed over an n-type buried layer as an active region and a resistance element IR of an internal circuit is formed over the gate insulating film. Since the thick gate insulating film lies between the n-type buried layer and the resistance element IR, the coupling capacitance produced between the substrate (n-type buried layer) and the resistance element IR is reduced.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: July 1, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Keiichi Yoshizumi, Kazuhisa Higuchi, Takayuki Nakaji, Masami Koketsu, Hideki Yasuoka
  • Publication number: 20080153223
    Abstract: The present invention pertains to a system method of forming at least a portion of a dual bit memory core array upon a semiconductor substrate, the method comprising forming adjacent first memory cell process assemblies; comprising a charge trapping dielectric, a first polysilicon layer and defining a first bitline opening there between, forming first polysilicon layer features over the charge trapping dielectric layer, depositing a layer of second spacer material over the charge trapping dielectric and the first polysilicon layer features, forming a sidewall spacer adjacent to the charge trapping dielectric and the first polysilicon layer features to define a second bitline opening between the adjacent memory cells, performing a bitline implant, or pocket implants, or both into the bitline opening to establish buried bitlines within the substrate having respective bitline widths that are narrower than the respective widths of the first bitline openings, removing the sidewall spacers, and performing back end
    Type: Application
    Filed: March 16, 2007
    Publication date: June 26, 2008
    Inventors: Zhizheng Liu, Shankar Sinha, Timothy Thurgate, Ming-Sang Kwan
  • Patent number: 7387935
    Abstract: A memory cell unit including: a semiconductor substrate having a source diffusion layer in at least a part of a surface thereof; a column-shaped semiconductor layer provided on the semiconductor substrate, and having a drain diffusion layer provided in an uppermost portion thereof and a first low concentration impurity diffusion layer provided in an entire bottom portion thereof; a memory cell arrangement which includes a plurality of memory cells provided in a peripheral wall of the column-shaped semiconductor layer and connected in series perpendicularly to the substrate, the memory cells each having a charge storage layer and a control gate; a second impurity diffusion layer provided at a lower end of the memory cell arrangement; and a selection transistor having a gate electrode provided around the peripheral wall of the column-shaped semiconductor layer and connecting the second impurity diffusion layer and the first impurity diffusion layer; wherein the first impurity diffusion layer extends into a part
    Type: Grant
    Filed: September 14, 2004
    Date of Patent: June 17, 2008
    Assignees: Sharp Kabushiki Kaisha
    Inventors: Fujio Masuoka, Hiroshi Sakuraba, Fumiyoshi Matsuoka, Syounosuke Ueno, Ryusuke Matsuyama, Shinji Horii, Takuji Tanigami
  • Patent number: 7384836
    Abstract: A transistor of an integrated circuit is provided. A first doped well region is formed in a well layer at a first active region. At least part of the first doped well region is adjacent to a gate electrode of the transistor. A recess is formed in the first doped well region, and the recess preferably has a depth of at least about 500 angstroms. A first isolation portion is formed on an upper surface of the well layer at least partially over an isolation region. A second isolation portion is formed at least partially in the recess of the first doped well region. At least part of the second isolation portion is lower than the first isolation portion. A drain doped region is formed in the recess of the first doped well region. The second isolation portion is located between the gate electrode and the drain doped region.
    Type: Grant
    Filed: August 17, 2006
    Date of Patent: June 10, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: You-Kuo Wu, Edward Chiang, Shun-Liang Hsu
  • Patent number: 7378314
    Abstract: A storage device has a two bit cell in which the select electrode is nearest the channel between two storage layers. Individual control electrodes are over individual storage layers. Adjacent cells are separated by a doped region that is shared between the adjacent cells. The doped region is formed by an implant in which the select gates of adjacent cells are used as a mask. This structure provides for reduced area while retaining the ability to perform programming by source side injection.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: May 27, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Cheong M. Hong, Gowrishankar L. Chindalore
  • Patent number: 7374989
    Abstract: Flash memory and methods of fabricating the same are disclosed. An illustrated example flash memory includes a first source formed within a semiconductor substrate; an epitaxial layer formed on an upper surface of the semiconductor substrate; an opening formed within the epitaxial layer to expose the first source; a floating gate device formed inside the opening; and a select gate device formed on the epitaxial layer at a distance from the floating gate device.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: May 20, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jin Hyo Jung
  • Patent number: 7371639
    Abstract: A nonvolatile memory device and a method for fabricating the same decreases power consumption and prevents contamination of an insulating layer. The nonvolatile memory devices includes a semiconductor substrate; a tunneling oxide layer formed on a predetermined portion of the semiconductor substrate; a floating gate formed on the tunneling oxide layer, the floating gate having a trench structure; a control gate formed inside the trench structure of the floating gate; and a gate insulating layer disposed between the floating gate and the control gate.
    Type: Grant
    Filed: August 15, 2005
    Date of Patent: May 13, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Eun Jong Shin
  • Patent number: 7371631
    Abstract: For enhancing the high performance of a non-volatile semiconductor memory device having an MONOS type transistor, a non-volatile semiconductor memory device is provided with MONOS type transistors having improved performance in which the memory cell of an MONOS non-volatile memory comprises a control transistor and a memory transistor. A control gate of the control transistor comprises an n-type polycrystal silicon film and is formed over a gate insulative film comprising a silicon oxide film. A memory gate of the memory transistor comprises an n-type polycrystal silicon film and is disposed on one of the side walls of the control gate. The memory gate comprises a doped polycrystal silicon film with a sheet resistance lower than that of the control gate comprising a polycrystal silicon film formed by ion implantation of impurities to the undoped silicon film.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: May 13, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Takeshi Sakai, Yasushi Ishii, Tsutomu Okazaki, Masaru Nakamichi, Toshikazu Matsui, Kyoya Nitta, Satoru Machida, Munekatsu Nakagawa, Yuichi Tsukada
  • Patent number: 7368349
    Abstract: A semiconductor memory device includes: a laminated body which has a floating-gate-forming groove and includes a semiconductor support layer, an impurity diffusion layer, an ion-implantation-damage protection film, and an interlayer insulating film; a floating-gate-insulating film; a floating gate disposed on the floating-gate-insulating film so as to be buried in the floating-gate-forming groove; a control-gate-insulating film disposed on a surface area of the floating gate; and a control gate disposed on the control-gate-insulating film above the floating gate, wherein the floating-gate-insulating film contacts with the semiconductor support layer at the bottom of the floating-gate-forming groove, the floating-gate-insulating film contacts with the impurity diffusion layer, the ion-implantation-damage protection film, and the interlayer insulating film at the side wall of the floating-gate-forming groove.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: May 6, 2008
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Masaru Seto, Junya Maneki
  • Patent number: 7368341
    Abstract: An explanation is given of, inter alia, a circuit arrangement containing a trench which penetrates through a charge-storing layer (18) and a doped semiconductor layer (14). The trench simultaneously fulfils a multiplicity of functions, namely an insulating function between adjacent components, the patterning of the charge-storing layer and also the subdivision of doping layers of the semiconductor layer (14).
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Achim Gratz, Klaus Knobloch, Franz Schuler
  • Patent number: 7365387
    Abstract: An EPROM cell in a printhead control circuit for an inkjet printer, having exactly one polysilicon layer and a conductive layer disposed above the polysilicon layer, includes a control transistor and an EPROM transistor. The control and EPROM transistors each have floating gates comprising a portion of the polysilicon layer, and an electrical interconnection, comprising a portion of the conductive layer, interconnects the floating gate of the control transistor and the floating gate of the EPROM transistor.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: April 29, 2008
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Trudy Benjamin
  • Publication number: 20080096340
    Abstract: A method of fabricating a nonvolatile memory device includes forming a charge tunneling layer on a semiconductor substrate, forming a charge trapping layer on the charge tunneling layer, forming a charge blocking layer on the charge trapping layer by supplying sequentially a metal source gas and an oxidizing gas onto the charge trapping layer, such that a supplying time of the oxidizing gas is form about 0.1 second to about 1.0 second, and forming a gate electrode layer on the charge blocking layer.
    Type: Application
    Filed: November 29, 2006
    Publication date: April 24, 2008
    Inventors: Se-hoon Oh, Han-mei Choi, Seung-hwan Lee, Sung-tae Kim, Young-sun Kim
  • Publication number: 20080093650
    Abstract: Provided is a nonvolatile memory device and a method of forming the nonvolatile memory device. The nonvolatile memory device includes a floating gate formed on a first active region doped with a first-conductivity-type dopant. The floating gate is doped with the first-conductivity-type dopant. Therefore, the thickness of a tunnel insulation layer can be kept thin, and the threshold voltage of a nonvolatile memory cell including the floating gate can be increased. As a result, the endurance of the tunnel insulation layer and the data retention characteristics of the nonvolatile memory cell is improved.
    Type: Application
    Filed: January 29, 2007
    Publication date: April 24, 2008
    Inventors: Tae-Kyung Kim, Sung-Nam Chang, Dong-Seog Eun
  • Patent number: 7361553
    Abstract: A memory transistor and a high breakdown voltage MOS transistor are easily formed on the same semiconductor substrate without changing the operational characteristics of the memory transistor. The process of forming the tunnel insulation film of the memory transistor and the process of forming the gate insulation film of the MOS transistor are performed separately. Concretely, an insulation film to be a part of the tunnel insulation film and a silicon nitride film are formed on the whole surface, and then the silicon nitride film in a MOS transistor formation region is selectively removed using a photoresist layer. Then, the MOS transistor formation region is selectively oxidized using the remaining silicon nitride film as an anti-oxidation mask to form the gate insulation film of the MOS transistor having a selected thickness.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: April 22, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Izuo Iida
  • Patent number: 7361543
    Abstract: An integrated circuit and method of forming an integrated circuit having a memory portion minimizes an amount of oxidation of nanocluster storage elements in the memory portion. A first region of the integrated circuit has non-memory devices, each having a control electrode or gate formed of a single conductive layer of material. A second region of the integrated circuit has a plurality of memory cells, each having a control electrode of at least two conductive layers of material that are positioned one overlying another. The at least two conductive layers are at substantially a same electrical potential when operational and form a single gate electrode. In one form each memory cell gate has two polysilicon layers overlying a nanocluster storage layer.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: April 22, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert F. Steimle, Ramachandran Muralidhar, Bruce E. White
  • Patent number: 7358129
    Abstract: A reduction in size nonvolatile semiconductors for use in a memory device and an increase in the capacity thereof are promoted. Each memory cell of a flash memory is provided with a field effect transistor having a first gate insulator film formed on a p-type well, a selector gate which is formed on the first insulator film and has side faces and a top face covered with a silicon oxide film (first insular film), floating gates which are formed in a side-wall form on both sides of the selector gate and which are electrically isolated from the selector gate through the silicon oxide film, a second gate insulator film formed to cover the silicon oxide film and the surface of each of the floating gates, and a control gate formed over the second gate insulator film.
    Type: Grant
    Filed: October 19, 2006
    Date of Patent: April 15, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Keiichi Haraguchi, Masataka Kato, Kenji Kanamitsu
  • Patent number: 7354816
    Abstract: Spacer structures of field effect transistor structures are enhanced at least in sections with immobile charge carriers. The charge accumulated in the spacer structures induces an enhancement zone of mobile charge carriers in the underlying semiconductor substrate. The enhancement zone reduces the resistance of a channel coupling between the respective source/drain region and a channel region of the respective field effect transistor structure, wherein the channel region being controlled by a potential of a gate electrode. Source/drain regions drawn back from the gate electrode of the field effect transistor structure reduce an overlap capacitance between the gate electrode and the respective source/drain regions. A method for fabricating transistor arrangements having n-FETs and p-FETs with enhanced spacer structures.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: April 8, 2008
    Assignee: Infineon Technologies AG
    Inventors: Matthias Goldbach, Ralph Stömmer
  • Patent number: 7351630
    Abstract: A method of manufacturing a flash memory device, including the steps of forming a gate on a semiconductor substrate in which a cell region, a source selection line region, and a drain selection line region are defined and then forming spacers on sidewalls of the gate; depositing a nitride film and a first interlayer insulating film on the entire structure, etching a region of the first interlayer insulating film to form a source contact hole, forming a conductive film on the entire structure to bury the source contact hole, and polishing the conductive film; forming a second interlayer insulating film on the entire structure, and then etching the second and first interlayer insulating films and the nitride film using a mask through which regions in which a cell region and a drain contact will be formed are opened; and, forming a polysilicon layer on the entire structure.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: April 1, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Woo Yung Jung
  • Patent number: 7348241
    Abstract: Provided are a cell structure of an EPROM device and a method for fabricating the same. The cell structure includes a gate stack, which includes a first floating gate, an insulating pattern including a nitride layer, and a control gate that are sequentially stacked on a semiconductor substrate, and includes a window for exposing the top surface or both sidewalls of the first floating gate on both sides of the control gate, so that charges of the first floating gate can be erased by ultraviolet rays. The cell structure further includes a floating gate transistor, which includes a gate insulating layer formed on the semiconductor substrate, a second floating gate that is formed on the gate insulating layer and is connected to the first floating gate in the gate stack, and a source/drain that is formed in the semiconductor substrate so as to be aligned to the second floating gate. In the cell structure, the window is formed on the top surface or both sidewalls of the first floating gate of the gate stack.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joon-hyung Lee, Byung-sun Kim, Tae-jung Lee
  • Patent number: 7344898
    Abstract: After a bottom electrode film is formed, a ferroelectric film is formed on the bottom electrode film. Then, a heat treatment is performed for the ferroelectric film in an oxidizing atmosphere so as to crystallize the ferroelectric film. Then, a top electrode film is formed on the ferroelectric film. In the heat treatment (i.e., annealing for crystallization), a flow rate of oxidizing gas is set to be in a range of from 10 sccm to 100 sccm.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: March 18, 2008
    Assignee: Fujitsu Limited
    Inventor: Wensheng Wang
  • Publication number: 20080064158
    Abstract: A method for fabrication a memory having a memory area and a peripheral area includes forming a first gate insulating layer with a first thickness over a substrate of a first region in the peripheral area and a second insulating layer with a second thickness over the substrate of the memory region. Thereafter, a buried diffusion region is formed in the substrate of the memory area. A charge trapping layer and a third insulating layer are formed over the substrate. A gate insulating layer is formed in the second region in the peripheral area, wherein the first thickness is greater than a second thickness after removing the charge trapping layer and third insulating layer on the first and second region in the peripheral area. A conductive layer is formed over the substrate of the memory area and the peripheral area substantially after the gate insulating layer is formed.
    Type: Application
    Filed: September 12, 2006
    Publication date: March 13, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Yen-Hao Shih, Erh-Kun Lai
  • Patent number: 7341911
    Abstract: A block alterable memory cell has a select control gate extending from a floating gate region to a drain region. The block alterable memory cell comprises a substrate layer that further includes a source implant region, a floating gate transistor region, and a drain implant region. A tunnel oxide layer overlies the substrate layer and is deposited to a thickness of approximately 70 angstroms. A first oxide layer overlies the tunnel oxide layer, with an inter poly layer overlying the first oxide layer, and a second poly layer extending over the floating gate transistor region to an edge of the drain implant region.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: March 11, 2008
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7338815
    Abstract: A semiconductor device manufacturing method, includes a step of forming refractory metal silicide layers 13a to 13c in a partial area of a semiconductor substrate 10, a step of forming an interlayer insulating film 21 on the refractory metal silicide layers 13a to 13c, a step of forming a first conductive film 31, a ferroelectric film 32, and a second conductive film 33 in sequence on the interlayer insulating film 21, a step of forming a capacitor Q consisting of a lower electrode 31a, a capacitor dielectric film 32a, and an upper electrode 33a by patterning the first conductive film 33, the ferroelectric film 32, and the second conductive film 31, and a step of performing an annealing for an annealing time to suppress a agglomeration area of the refractory metal silicide layers 13a to 13c within an upper limit area.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: March 4, 2008
    Assignee: Fujitsu Limited
    Inventors: Yukinobu Hikosaka, Hirotoshi Tachibana
  • Patent number: 7339228
    Abstract: A first plane of memory cells is formed on mesas of the array. A second plane of memory cells is formed in valleys adjacent to the mesas. The second plurality of memory cells is coupled to the first plurality of memory cells through a series connection of their source/drain regions. Wordlines couple rows of memory cells of the array. Metal shields are formed between adjacent wordlines and substantially parallel to the wordlines to shield the floating gates of adjacent cells.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: March 4, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 7335557
    Abstract: A non-volatile memory semiconductor device includes a first insulation layer, two diffusion regions, a memory gate oxide layer, a first control gate, a second insulation layer, a floating gate of polysilicon, a third insulation layer and a second control gate. The first insulation layer is formed on a semiconductor substrate. The two diffusion regions are formed on a surface of the substrate. The memory gate oxide layer is formed over the two diffusion regions on the substrate. The first control gate including a diffusion region is formed on the surface of the substrate. The second insulation layer is formed on the first control gate. The floating gate of polysilicon is formed over the memory gate oxide layer, the first insulation layer, and the second insulation layer. The third insulation layer is formed on the floating gate. The second control gate is disposed on the floating gate.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: February 26, 2008
    Assignee: Ricoh Company, Ltd.
    Inventors: Masaaki Yoshida, Hiroaki Nakanishi
  • Patent number: 7332408
    Abstract: Methods and apparatus are provided. A first dielectric plug is formed in a portion of a trench that extends into a substrate of a memory device so that an upper surface of the first dielectric plug is recessed below an upper surface of the substrate. The first dielectric plug has a layer of a first dielectric material and a layer of a second dielectric material formed on the layer of the first dielectric material. A second dielectric plug of a third dielectric material is formed on the upper surface of the first dielectric plug.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: February 19, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Michael Violette
  • Patent number: 7307027
    Abstract: A method of forming a dielectric between memory cells in a device includes forming multiple memory cells, where a gap is formed between each of the multiple memory cells. The method further includes performing a high density plasma deposition (HDP) process to fill at least a portion of the gap between each of the multiple memory cells with a dielectric material.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: December 11, 2007
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Minh Van Ngo, Alexander Nickel, Hieu Pham, Jean Yang, Hirokazu Tokuno, Weidong Qian
  • Patent number: 7297584
    Abstract: In a semiconductor device having a dual stress liner for improving electron mobility, the dual stress liner includes a first liner portion formed on a PMOSFET and a second liner portion formed on an NMOSFET. The first liner portion has a first compressive stress, and the second liner portion has a second compressive stress smaller than the first compressive stress. The dual stress liner may be formed by forming a stress liner on a semiconductor substrate on which the PMOSFET and the NMOSFET are formed and selectively exposing a portion of the stress liner on the NMOSFET.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: November 20, 2007
    Assignees: Samsung Electronics Co., Ltd., Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jae-Eon Park, Ja-Hum Ku, Jun-Jung Kim, Dae-Kwon Kang, Young Way Teh
  • Patent number: 7291556
    Abstract: A dielectric layer is formed on a region of a microelectronic substrate. A sacrificial layer is formed on the dielectric layer, and portions of the sacrificial layer and the dielectric layer are removed to form an opening that exposes a portion of the region. A conductive layer is formed on the sacrificial layer and in the opening. Portions of the sacrificial layer and the conductive layer on the dielectric layer are removed to leave a conductive plug in the dielectric layer and in contact with the region. Removal of the sacrificial layer and portions of the conductive layer on the dielectric layer may include polishing to expose the sacrificial layer and to leave a conductive plug in the sacrificial layer and the dielectric layer, etching the sacrificial layer to expose the dielectric layer and leave a portion of the conductive plug protruding from the dielectric layer, and polishing to remove the protruding portion of the conductive plug.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: November 6, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-Hun Choi, Yoon-Ho Son, Sung-Lae Cho, Joon-Sang Park
  • Patent number: 7273775
    Abstract: According to one exemplary embodiment, a method of fabricating a virtual ground memory array includes forming a number of polysilicon segments on a gate dielectric layer, where the gate dielectric layer is situated on a substrate. The method further includes forming a number of bitlines in the substrate, where each of the bitlines is situated adjacent to at least one of the polysilicon segments, and where the bitlines are formed after the polysilicon segments. The method further includes forming a gap-filling dielectric segment over each of the bitlines. The method can further include removing the masking layer and a portion of the gap-filling dielectric segment, depositing an interpoly dielectric layer on the polysilicon segments and on a remaining portion of the gap-filling dielectric segment, and forming a second polysilicon layer on the interpoly dielectric layer.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: September 25, 2007
    Assignee: Spansion LLC
    Inventor: Hiroyuki Ogawa