Including Insulated Gate Field Effect Transistor Having Gate Surrounded By Dielectric (i.e., Floating Gate) Patents (Class 438/201)
  • Publication number: 20070218619
    Abstract: A method of manufacturing a nonvolatile semiconductor memory device may include forming a pad oxide layer pattern and a mask pattern on a semiconductor substrate, forming a trench within the semiconductor substrate with the mask pattern functioning as an etching mask, sequentially forming a first device isolation layer and a second device isolation layer that may fill the trench, forming an opening by removing the mask pattern to expose an upper surface of the pad oxide layer pattern and a sidewall of the second device isolation layer, and forming a floating gate forming region having a width wider than the opening by simultaneously removing the pad oxide layer pattern and a sidewall portion of the second device isolation layer exposed by the opening.
    Type: Application
    Filed: March 7, 2007
    Publication date: September 20, 2007
    Inventors: Ji-hoon Cha, Woo-gwam Shim, Dong-gyun Han, Chang-ki Hong, Seung-pil Chung
  • Patent number: 7268031
    Abstract: A memory cell transistor includes a high dielectric constant tunnel insulator, a metal floating gate, and a high dielectric constant inter-gate insulator comprising a metal oxide formed over a substrate. The tunnel insulator and inter-gate insulator have dielectric constants that are greater than silicon dioxide. Each memory cell has a plurality of doped source/drain regions in a substrate. A pair of transistors in a row are separated by an oxide isolation region comprising a low dielectric constant oxide material. A control gate is formed over the inter-gate insulator.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: September 11, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7265050
    Abstract: A protection layer is formed on a semiconductor substrate having a cell array region and an alignment key region. A plurality of data storage elements are formed on the protection layer in the cell array region. An insulating layer is formed on the data storage elements, a barrier layer is formed on the insulating layer, and a sacrificial layer is formed on the barrier layer. The sacrificial layer, the barrier layer and the insulating layer are patterned to form contact holes that expose the data storage elements, and conductive plugs are formed in the contact holes. The sacrificial layer is etched to leave portions of the conductive plugs protruding from the barrier layer. The protruding portions of the conductive plugs are removed by polishing.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: September 4, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-Hun Choi, Yoon-Ho Son, Sung-Lae Cho, Joon-Sang Park
  • Patent number: 7259051
    Abstract: The invention provides a method of forming a silicon tip by a single etching process, as well as a method of forming a tip floating gate to increase erase speed. Etching gases comprising (1) chlorine and/or (2) oxygen/helium are performed to form a silicon tip without bottom dimple. The invention may further control the tip angle by adjusting the etching parameters of gas compositions and ratios, chamber pressures, and radio frequency powers.
    Type: Grant
    Filed: February 7, 2005
    Date of Patent: August 21, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Chih-Ming Chen, Rong-Yuan Hsieh, Ching-Chi Liu
  • Patent number: 7256448
    Abstract: A split gate type nonvolatile semiconductor memory device and a method of fabricating a split gate type nonvolatile semiconductor memory device are provided. A gate insulating layer and a floating-gate conductive layer are formed on a semiconductor substrate. A mask layer pattern is formed on the floating-gate conductive layer to define a first opening extending in a first direction. First sacrificial spacers having a predetermined width are formed on both sidewalls corresponding to the mask layer pattern. An inter-gate insulating layer is formed on the floating-gate conductive layer. The first sacrificial spacers are removed, and the floating-gate conductive layer is etched until the gate insulating layer is exposed. A tunneling insulating layer is formed on an exposed portion of the floating-gate conductive layer. A control-gate conductive layer is formed on a surface of the semiconductor substrate. Second sacrificial spacers having predetermined widths are formed on the control-gate conductive layer.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: August 14, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Heeseog Jeon, Seung-beom Yoon, Yong-tae Kim, Yong-suk Choi
  • Patent number: 7256085
    Abstract: A manufacturing method of a semiconductor memory device comprising the steps of: forming plural trenches in stripes in a semiconductor substrate and filling each of the trenches with an element isolation insulating film to form element isolation regions; sequentially forming a tunnel insulating film and a charge-storable film so as to cover active regions between the element isolation regions; forming an interlayer insulating film on the charge-storable film; forming plural control gates on the interlayer insulating film in a direction orthogonal to a longitudinal direction of the trenches; among source formation regions and drain formation regions alternately provided between the plural control gates, etching the element isolation insulating film in the source formation regions, using as a mask a resist film having openings in the source formation regions, to expose surfaces of the trenches; and carrying out isotropic plasma ion implantation on the source formation regions to form source diffusion layers in
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: August 14, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kazuhiro Hata, Shinichi Sato, Yukiharu Akiyama
  • Patent number: 7247917
    Abstract: Nonvolatile semiconductor memory devices and methods of manufacturing the same are disclosed. A disclosed nonvolatile semiconductor memory cell includes a semiconductor substrate; first and second semiconductor cells positioned on the semiconductor substrate at a distance from each other; a first source and a second source adjacent the first and second semiconductor cells; a first drain contact between the first and second semiconductor cells; first and second cap dielectrics formed on the first and second semiconductor cells, respectively; first and second sidewall spacers formed on sidewalls of the first and second semiconductor cells, respectively; an inter metal dielectric layer covering the first and second cap dielectrics and the first and second sidewall spacers, a drain contact hole exposing the drain; and a second drain contact connected to the first drain contact through the drain contact hole.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: July 24, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Tae Ho Choi
  • Patent number: 7247907
    Abstract: A split gate NAND flash memory structure is formed on a semiconductor substrate of a first conductivity type. The NAND structure comprises a first region of a second conductivity type and a second region of the second conductivity type in the substrate, spaced apart from the first region, thereby defining a channel region therebetween. A plurality of floating gates are spaced apart from one another and each is insulated from the channel region. A plurality of control gates are spaced apart from one another, with each control gate insulated from the channel region. Each of the control gate is between a pair of floating gates and is capacitively coupled to the pair of floating gates. A plurality of select gates are spaced apart from one another, with each select gate insulated from the channel region. Each select gate is between a pair of floating gates.
    Type: Grant
    Filed: May 20, 2005
    Date of Patent: July 24, 2007
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Feng Gao, Ya-Fen Lin, John W. Cooksey, Changyuan Chen, Yuniarto Widjaja, Dana Lee
  • Publication number: 20070155086
    Abstract: A complementary metal oxide silicon (CMOS) image sensor includes a pad protection layer having a dual-layer structure including a plasma enhanced-tetra ethyl ortho silicate (PE-TEOS) layer as a lower layer and a thermo-setting resin layer as an upper layer. The thermo-setting resin layer is removed before a micro-lens process and after a planarization process. The plasma enhanced-tetra ethyl ortho silicate (PE-TEOS) layer is removed after the planarization process and the micro-lens process.
    Type: Application
    Filed: December 22, 2006
    Publication date: July 5, 2007
    Inventor: Jin Han Kim
  • Patent number: 7238571
    Abstract: A memory device may include a number of memory cells, a first interlayer dielectric formed over the memory cells and at least one metal layer formed over the interlayer dielectric. A dielectric layer may be formed over the metal layer. The dielectric layer may represent a cap layer formed at or near an upper surface of the memory device and may be deposited at a relatively low temperature.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: July 3, 2007
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Hirokazu Tokuno, Wenmei Li, Ning Cheng, Minh Van Ngo, Angela T. Hui, Cinti X. Chen
  • Publication number: 20070148851
    Abstract: A method of programming an EEPROM including a first active region, a second active region and a third active region located separately in a semiconductor substrate, a common floating gate above and intersecting the active regions, first impurity regions located at both sides of the common floating gate in the first active region, second impurity regions located at both sides of the common floating gate in the second active regions and third impurity region, located at both sides of the common floating gate in the third active region. The method includes: applying a programming voltage to the first impurity regions in the first active region and the third impurity regions in the third active region; and applying a ground voltage to the second impurity regions in the second active region.
    Type: Application
    Filed: December 8, 2006
    Publication date: June 28, 2007
    Inventors: Myung-hee Kim, Geun-sook Park, Sang-bae Yi, Ho-ik Hwang, Hye-young Park
  • Patent number: 7232722
    Abstract: The present invention relates to a method of making a multibit non-volatile memory and especially to a method of making a flash memory such as a fast-programmable Flash EEPROM (Electrically Erasable Programmable Read-Only Memory) device relying on hot-electron injection for programming which is particularly suited for high density low-voltage low-power applications and employs only two polysilicon layers.
    Type: Grant
    Filed: January 20, 2005
    Date of Patent: June 19, 2007
    Assignees: Interuniversitair Microelektronica Centrum vzw, Infineon AG
    Inventors: Jan Van Houdt, Luc Haspeslagh
  • Patent number: 7226828
    Abstract: A new method to form a floating gate isolation test structure in the manufacture of a memory device is achieved. The method comprises providing a substrate. A gate oxide layer is formed overlying the substrate. A floating gate conductor layer is deposited overlying the gate oxide layer. The floating gate conductor layer is patterned to expose the substrate for planned source regions. Ions are implanted into the exposed substrate to form the source regions. Contacting structures are formed to the source regions. Contacting structures are formed to the floating gate conductor layer.
    Type: Grant
    Filed: April 27, 2004
    Date of Patent: June 5, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chang-Jen Hsieh, Hung-Cheng Sung, Te-Hsun Hsu
  • Patent number: 7220633
    Abstract: A method of monolithically fabricating an LDMOS transistor with a fabrication process that is compatible with a sub-micron CMOS fabrication process. The specification further describes an LDMOS transistor. The LDMOS transistor is implemented in a first impurity region on a substrate. The LDMOS transistor has a source that includes a second impurity region. The second impurity region is implanted into the surface of the substrate within the first impurity region. Additionally, the LDMOS transistor has a drain that includes a third impurity region. The third impurity region is implanted into the surface of the substrate within the first impurity region. The third impurity region is spaced a predetermined distance away from a gate of the LDMOS transistor. The drain of the LDMOS transistor further includes a fourth impurity region within the third impurity region. The fourth impurity region provides an ohmic contact for the drain.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: May 22, 2007
    Assignee: Volterra Semiconductor Corporation
    Inventors: Budong You, Marco A. Zuniga
  • Patent number: 7214579
    Abstract: Fabrication of a memory cell, the cell including a first floating gate stack (A), a second floating gate stack (B) and an intermediate access gate (AG), the floating gate stacks (A, B) including a first gate oxide (4), a floating gate (FG), a control gate (CG; CGl, CGu), an interpoly dielectric layer (8), a capping layer (6) and side-wall spacers (10), the cell further including source and drain contacts (22), wherein the fabrication includes: defining the floating gate stacks in the same processing steps to have equal heights; depositing over the floating gate stacks a poly-Si layer (12) with a larger thickness than the floating gate stacks' height; planarizing the poly-Si layer (12); defining the intermediate access gate (AG) in the planarized poly-Si layer (14) by means of an access gate masking step over the poly-Si layer between the floating gate stacks and a poly-Si etching step.
    Type: Grant
    Filed: August 18, 2002
    Date of Patent: May 8, 2007
    Assignee: NXP BV.
    Inventors: Franciscus Petrus Widdershoven, Michiel Jos Van Duuren
  • Patent number: 7211478
    Abstract: Diagonal deep well region for routing the body-bias voltage for MOSFETS in surface well regions is provided and described.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: May 1, 2007
    Assignee: Transmeta Corporation
    Inventors: Mike Pelham, James B. Burr
  • Patent number: 7211486
    Abstract: When memory cells of EEPROM and a capacitor element are formed on a same semiconductor substrate, the number of processes is prevented from increasing and a manufacturing cost is reduced. Furthermore, reliability of the capacitor element is improved, and characteristics of the memory cells, a MOS transistor, and so on are prevented from changing. A pair of left and right memory cells is formed in a memory cell formation region of a P-type silicon substrate, being symmetrical to each other with respect to a source region, and a capacitor element formed of a lower electrode, a capacitor insulation film, and an upper electrode is formed in a capacitor element formation region of the same P-type silicon substrate. The lower electrode of the capacitor element is formed by patterning a polysilicon film provided for forming control gates of the pair of memory cells.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: May 1, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Kazuyuki Ozeki, Yuji Goto
  • Patent number: 7208795
    Abstract: An EEPROM memory transistor having a floating gate. The floating gate is formed using a BiCMOS process and has a first sinker dopant region proximate to a tunnel diode window, and a second sinker dopant region proximate to a coupling capacitor region. An optional third sinker region may be formed proximate to a source junction of the EEPROM memory transistor. Also, a shallow trench isolation (STI) region may be formed between the first and second sinker dopant regions.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: April 24, 2007
    Assignee: Atmel Corporation
    Inventors: Damian A. Carver, Muhammad I. Chaudhry
  • Patent number: 7208374
    Abstract: An EEPROM device manufacturing method is disclosed. The method includes the steps of oxidation, polysilicon deposition, and etching to form first polysilicon layers of a select transistor and a floating gate electrode. The method also includes a second polysilicon deposition step followed by an etching step to form a logic gate electrode and a control gate electrode at the same time. This method prevents damage to the silicon substrate and reduces the number of process steps compared to conventional manufacturing methods.
    Type: Grant
    Filed: November 8, 2004
    Date of Patent: April 24, 2007
    Assignee: Magnachip Semiconductor Ltd.
    Inventor: Il-Seok Han
  • Patent number: 7208373
    Abstract: A method of forming a memory cell array comprising a plurality of memory cells, each of the memory cells including a trench capacitor and a transistor is disclosed.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: April 24, 2007
    Assignee: Infineon Technologies AG
    Inventor: Rolf Weis
  • Patent number: 7208365
    Abstract: Provided are a nonvolatile memory device and a method of manufacturing the same. The device includes a semiconductor substrate; a source region and a drain region disposed in the semiconductor substrate and a channel region interposed between the source and drain regions; a first tunnel oxide layer disposed on the channel region near the source region; a second tunnel oxide layer disposed on the channel region near the drain region; a first charge trapping layer disposed on the first tunnel oxide layer; a second charge trapping layer disposed on the second tunnel oxide layer; a blocking oxide layer covering the first and second charge trapping layers; a charge isolation layer interposed between the first and second charge trapping layers; and a gate electrode disposed on the blocking oxide layer.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: April 24, 2007
    Assignees: Samsung Electronics Co., Ltd., Kwang-youl Seo
    Inventors: Hee-soon Chae, Chung-woo Kim, Kwang-youl Seo, Tae-hyun Han, Byung-chul Kim, Joo-yeon Kim
  • Patent number: 7208796
    Abstract: A split gate flash memory is provided. Trenches are formed in the substrate to define active layers. The device isolation layers are formed in the trenches. The surface of the device isolation layers is lower than the surface of the active layers. The stacked gate structures each including a tunneling dielectric layer, a floating gate and a cap layer are formed on the active layers. The inter-gate dielectric layers are formed on the sidewalls of the stacked gate structures. The select gates are formed on one side of the stacked gate structure and across the active layer. The select gate dielectric layers are formed between the select gates and the active layers. The source regions are formed in the active layers on the other side of the stacked gate structures. The drain regions are formed in the active layers on one side of the select gates.
    Type: Grant
    Filed: October 11, 2005
    Date of Patent: April 24, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ko-Hsing Chang, Wu-Tsung Chung, Tsung-Cheng Huang
  • Patent number: 7202521
    Abstract: In a silicon-oxide-nitride-oxide-silicon (SONOS) memory device, and methods of manufacturing and operating the same, the SONOS memory device includes a semiconductor layer including source and drain regions and a channel region, an upper stack structure formed on the semiconductor layer, the upper stack structure and the semiconductor layer forming an upper SONOS memory device, and a lower stack structure formed under the semiconductor layer, the lower stack structure and the semiconductor layer forming a lower SONOS memory device.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: April 10, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moon-kyung Kim, Chung-woo Kim, Jo-won Lee, Eun-hong Lee, Hee-soon Chae
  • Patent number: 7195964
    Abstract: A gate dielectric (150) for a gate (160) is formed by thermal oxidation simultaneously with as a dielectric on a surface of another gate (140). The dielectric thickness on the other gate is controlled by the dopant concentration in the other gate. The gates may be gates of different MOS transistors, or a select gate and a floating gate of a memory cell. Other features are also provided.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: March 27, 2007
    Assignee: ProMOS Technologies Inc.
    Inventor: Yi Ding
  • Patent number: 7192822
    Abstract: According to some embodiments, methods of fabricating a complementary metal oxide semiconductor (CMOS) type semiconductor device having dual gates are provided. The method includes forming an insulated first gate electrode on the P-type well, and an insulated second initial gate electrode on the N-type well. A first lower interlayer insulating layer exposing a top surface of the first gate electrode is formed on the P-type well while a second lower interlayer insulating layer exposing a top surface of the second initial gate electrode is formed on the N-type well. P-type impurity ions are selectively implanted into the second initial gate electrode to form a second gate electrode. A first ion implantation mask pattern is formed over the first gate electrode while a second ion implantation mask pattern is formed over the second gate electrode. The second lower interlayer insulating layer is etched, using the second ion implantation mask pattern as an etch mask, to expose a top surface of the N-type well.
    Type: Grant
    Filed: September 13, 2005
    Date of Patent: March 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Jun Park, Joon-Mo Kwon
  • Patent number: 7189615
    Abstract: The formation of a MIM (metal insulator metal) capacitor (164) and concurrent formation of a resistor (166) is disclosed. A copper diffusion barrier (124) is formed over a copper deposition (110) that serves as a bottom electrode (170) of the capacitor (164). The copper diffusion barrier (124) mitigates unwanted diffusion of copper from the copper deposition (110), and is formed via electro-less deposition such that little to none of the barrier material is deposited at locations other than over a top surface (125) of the deposition of copper/bottom electrode. Subsequently, layers of dielectric (150) and conductive (152) materials are applied to form a dielectric (172) and top electrode (174) of the MIM capacitor (164), respectively, where the layer of conductive top electrode material (152) also functions to concurrently develop the resistor (166) on the same chip as the capacitor (164).
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: March 13, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Satyavolu Srinivas Papa Rao, Darius Lammont Crenshaw, Stephan Grunow, Kenneth D. Brennan, Somit Joshi, Montray Leavy, Phillip D. Matz, Sameer Kumar Ajmera, Yuri E. Solomentsev
  • Patent number: 7183153
    Abstract: A method of forming an array of non-volatile memory cells includes forming a plurality of floating gate structures and shaping the plurality of floating gate structures to reduce the width of upper parts of floating gate structures. A first process forms floating gates by etching an upper portion of a polysilicon structure with masking elements in place to shape the floating gate. A second process etches recesses and protrusions in a polysilicon structure prior to etching the structure to form individual floating gates.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: February 27, 2007
    Assignee: SanDisk Corporation
    Inventors: Jeffrey W. Lutze, Tuan Pham, Masaaki Higashitani
  • Patent number: 7183163
    Abstract: A method of making an isolation-less, contact-less array of bi-directional read/program non-volatile memory cells is disclosed. Each memory cell has two stacked gate floating gate transistors, with a switch transistor there between. The source/drain lines of the cells and the control gate lines of the stacked gate floating gate transistors in the same column are connected together. The gate of the switch transistors in the same row are connected together. Spaced apart trenches are formed in a substrate in a first direction. Floating gates are formed in the trenches, along the side wall of the trenches. A buried source/bit line is formed at the bottom of each trench. A control gate common to both floating gates is also formed in each trench insulated from the floating gates, capacitively coupled thereto, and insulated from the buried source/bit line.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: February 27, 2007
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Dana Lee, Bomy Chen
  • Patent number: 7183143
    Abstract: A method for forming a nitrided tunnel oxide layer is described. A silicon oxide layer as a tunnel oxide layer is formed on a semiconductor substrate, and a plasma nitridation process is performed to implant nitrogen atoms into the silicon oxide layer. A thermal drive-in process is then performed to diffuse the implanted nitrogen atoms across the silicon oxide layer.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: February 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Tzu-Yu Wang
  • Patent number: 7183154
    Abstract: Nonvolatile memory cells having a split gate structure and methods of fabricating the same are provided. The nonvolatile memory cells include active regions defined at a predetermined region of a semiconductor substrate. A portion of each of the active regions is etched to form a cell trench region. Insulated floating gates are disposed on a pair of sidewalls parallel with the direction that crosses the active region. A source region is disposed at a bottom surface of the cell trench region. A gap region between the floating gates is filled with a common source line electrically connected to the source region. The common source line is extended along the direction that crosses the active regions. The active regions, which are adjacent to the floating gates, are covered with word lines parallel with the common source line. Drain regions are disposed in the active regions adjacent to the word lines. The drain regions are electrically connected to bit lines that cross over the word lines.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: February 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Woo Kim, Dong-Jun Kim, Min-Soo Cho, Dai-Geun Kim
  • Patent number: 7176083
    Abstract: An embedded flash cell structure comprising a structure, a first floating gate having an exposed side wall over the structure, a second floating gate having an exposed side wall over the structure and spaced apart from the first floating gate, a first pair of spacers over the respective first floating gate and the second floating gate, a second pair of spacers at least over the respective exposed side walls of the first and second floating gates, a source area in the structure between the second pair of spacers, a plug over the source implant, and first and second control gates outboard of the first pair of spacers and exposing outboard portions of the structure and respective drain areas in the exposed outboard portions of the structure is provided. A method of forming the embedded flash cell structure is also provided.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: February 13, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Der-Shin Shyu, Hung-Cheng Sung, Chen-Ming Huang
  • Patent number: 7176077
    Abstract: Floating-gate memory cells having a trench source-line contact are suited for increased packing densities without a need for low-resistance ground straps placed at regular intervals across a memory array. Such floating-gate memory cells have their drain regions and source regions formed in a first semiconductor region having a first conductivity type. This first semiconductor region is separated from the underlying substrate by an interposing second semiconductor region having a second conductivity type different from the first conductivity type. The source regions of the memory cells are coupled to the second semiconductor region as a common source line. Such memory cells can be programmed, read and erased by applying various potential levels to their control gates, their drain regions, the first semiconductor region, and the second semiconductor region.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: February 13, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Ebrahim Abedifard
  • Patent number: 7176078
    Abstract: In a nonvolatile semiconductor memory device having a memory cell array region and a strap region for providing voltage to the memory cell array region, in the memory cell array region, a plurality of word lines and a plurality of source lines are formed in a row direction, and one source line is formed between two word lines. In the strap region, the word lines and the source lines extend in the row direction and are collinear with, and without separation from, the word lines and the source lines of the memory cell array region, and each of the word lines and the source lines has a word line contact and a source line contact.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: February 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yonghee Kim
  • Patent number: 7176084
    Abstract: A self-aligned conductive spacer process for fabricating sidewall control gates on both sides of a floating gate for high-speed RAM applications, which can well define dimensions and profiles of the sidewall control gates. A conductive layer is formed on the dielectric layer to cover a floating gate patterned on a semiconductor substrate. Oxide spacer are formed on the conductive layer adjacent to the sidewalls of the floating gate. Performing an anisotropic etch process on the conductive layer and using the oxide spacers as a hard mask, a conductive spacers are self-aligned fabricated at both sides of the floating gate, serving as sidewall control gates.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: February 13, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tzyh-Cheang Lee, Fu-Liang Yang, Jiunn-Ren Hwang, Tsung-Lin Lee
  • Patent number: 7177187
    Abstract: A data processor includes an authentication circuit for judging access right. The data processor further includes a nonvolatile memory cell array formed on an insulator film of a chip, and a conductor layer provided between a logic circuit of the authentication circuit and the nonvolatile memory cell array. The nonvolatile memory cell array can store at least part of authentication information or an authentication program.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: February 13, 2007
    Assignee: Hitachi, Ltd.
    Inventor: Tomoyuki Ishii
  • Patent number: 7169666
    Abstract: A floating gate transistor has a reduced barrier energy at an interface with an adjacent gate insulator, allowing faster charge transfer across the gate insulator at lower voltages. Data is stored as charge on the floating gate. The data charge retention time on the floating gate is reduced. The data stored on the floating gate is dynamically refreshed. The floating gate transistor provides a dense and planar dynamic electrically alterable and programmable read only memory (DEAPROM) cell adapted for uses such as for a dynamic random access memory (DRAM) or a dynamically refreshed flash EEPROM memory. The floating gate transistor provides a high gain memory cell and low voltage operation.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: January 30, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Joseph E. Geusic
  • Patent number: 7169624
    Abstract: A shared bit line cross-point memory array structure is provided, along with methods of manufacture. The memory structure comprises a bottom word line with a top word line overlying the bottom word line. A bit line is interposed between the bottom word line and the top word line such that a first cross-point is formed between the bottom word line and the bit line and a second cross-point is formed between the bit line and the top word line. A resistive memory material is provided at each cross-point above and below the bit line. A diode is formed at each cross-point between the resistive memory material and either the top word line or the bottom word line, respectively.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: January 30, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Sheng Teng Hsu
  • Patent number: 7157305
    Abstract: A method of forming a memory array includes forming a stack of two or more layers of memory material on a substrate, each layer of memory material having an array of memory cells, and forming one or more contacts that pass through each of the layers of memory material.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: January 2, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Kirk D. Prall
  • Patent number: 7151028
    Abstract: According to one exemplary embodiment, a method for fabricating a floating gate memory cell on a substrate comprises a step of forming a first spacer adjacent to a source sidewall of a stacked gate structure, where the stacked gate structure is situated over a channel region in the substrate. The method further comprises forming a high energy implant doped region adjacent to the first spacer in a source region of the substrate. The method further comprises forming a recess in the source region, where a sidewall of the recess is situated adjacent to a source of the floating gate memory cell, and where forming the recess comprises removing the first spacer. The method further comprises forming a second spacer adjacent to the source sidewall of the stacked gate structure, where the second spacer extends to a bottom of the recess, and where the second spacer comprises plasma-grown oxide.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: December 19, 2006
    Assignee: Spansion LLC
    Inventors: Shenqing Fang, Rinji Sugino, Kuo-Tung Chang, Zhigang Wang, Kazuhiro Mizutani, Pavel Fastenko
  • Patent number: 7151021
    Abstract: A bi-directional read/program non-volatile memory cell and array is capable of achieving high density. Each memory cell has two spaced floating gates for storage of charges thereon. The cell has spaced apart source/drain regions with a channel therebetween, with the channel having three portions. One of the floating gate is over a first portion; another floating gate is over a second portion, and a gate electrode controls the conduction of the channel in the third portion between the first and second portions. A control gate is connected to each of the source/drain regions, and is also capacitively coupled to the floating gate. The cell programs by hot channel electron injection, and erases by Fowler-Nordheim tunneling of electrons from the floating gate to the gate electrode. Bi-directional read permits the cell to be programmed to store bits, with one bit in each floating gate.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: December 19, 2006
    Assignee: Silicon Storage Technology, Inc.
    Inventors: Bomy Chen, Jack Frayer, Dana Lee
  • Patent number: 7148098
    Abstract: A method for forming a split-gate flash memory structure includes etching a first gate layer to form one or more floating gates and forming an isolation layer over the floating gates. An insulation layer is deposited over the isolation layer and planarized.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: December 12, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shieh Feng Huang, Jiun Nan Chen, Lien Yo Tsai
  • Patent number: 7141468
    Abstract: The present invention facilitates semiconductor device fabrication by providing mechanisms for utilizing different isolation schemes within embedded memory and other logic portions of a device. The isolation mechanism of the embedded memory portion is improved relative to other portions of the device by increasing dopant concentrations or reducing the depth of the dopant profiles within well regions of the embedded memory array. As a result, smaller isolation spacing can be employed thereby permitting a more compact array. The isolation mechanism of the logic portion is relatively less than that of the embedded memory portion, which permits greater operational speed for the logic.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: November 28, 2006
  • Patent number: 7136302
    Abstract: Structures and methods for DEAPROM memory with low tunnel barrier intergate insulators are provided. The DEAPROM memory includes a first source/drain region and a second source/drain region separated by a channel region in a substrate. A floating gate opposes the channel region and is separated therefrom by a gate oxide. A control gate opposes the floating gate. The control gate is separated from the floating gate by a low tunnel barrier intergate insulator having a tunnel barrier of less than 1.5 eV. The low tunnel barrier intergate insulator includes a metal oxide insulator selected from the group consisting of NiO, Al2O3, Ta2O5, TiO2, ZrO2, Nb2O5, Y2O3, Gd2O3, SrBi2Ta2O3, SrTiO3, PbTiO3, and PbZrO3. The floating gate includes a polysilicon floating gate having a metal layer formed thereon in contact with the low tunnel barrier intergate insulator. And, the control gate includes a polysilicon control gate having a metal layer formed thereon in contact with the low tunnel barrier intergate insulator.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: November 14, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Jerome M. Eldridge, Kie Y. Ahn
  • Patent number: 7132328
    Abstract: The present invention relates to a method of manufacturing a semiconductor device. According to the present invention, an ONO1 HTO film and an ONO2 nitride film are sequentially formed on a polysilicon layer for floating gate and an oxide film for ONO3 is formed as a SiON film by oxidizing the surface of the ONO2 nitride film. Thus, the oxide film for ONO3 having a better film quality and a high dielectric constant compared to an existing HTO oxide film is formed. Accordingly, capacitance and a breakdown voltage are increased and charge leakage and retention properties are thus improved. Furthermore, it is possible to reduce the cost through reduction in process by replacing an ONO3 annealing process and a subsequent high temperature steam annealing process with a single process.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: November 7, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kwang Chul Joo
  • Patent number: 7132332
    Abstract: A polysilicon film and the like are patterned to form n? diffusion layers on a silicon substrate. Subsequently, an outer edge of an Al2O3 film is made retreat to be smaller than that of a gate electrode by performing isotropic etching of the Al2O3 film, using a solution of sulfuric acid with hydrogen peroxide. A silicon oxide film, a silicon nitride film, the polysilicon film and the like are hardly removed although the solution of sulfuric acid with hydrogen peroxide exhibits higher etching rate to the Al2O3 film, enabling almost exclusive etching of the Al2O3 film at a high selectivity ratio. Subsequently, another polysilicon film is formed so as to fill spaces formed after the retreat of the Al2O3 film under the silicon oxide film. Subsequently, a sidewall insulating film is formed by remaining portions of the later polysilicon film in the spaces by performing RIE, oxidation, or the like of the later polysilicon film.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: November 7, 2006
    Assignee: Fujitsu Limited
    Inventors: Masaki Ishidao, Masahiro Kobayashi, Masatoshi Fukuda
  • Patent number: 7125763
    Abstract: A process of fabricating a memory cell that includes a substrate that has a first region and a second region with a channel therebetween by forming a gate above the channel of the substrate, forming a bitline and siliciding the bitline.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: October 24, 2006
    Assignee: Spansion LLC
    Inventors: Daniel Sobek, Timothy J. Thurgate, Mark W. Randolph
  • Patent number: 7122415
    Abstract: Aluminum oxide is deposited by atomic layer deposition to form a high-k dielectric for the interpoly dielectric layer of a non-volatile memory device. The increased capacitive coupling can allow a thicker oxide layer to be used between the floating gate and the control gate, resulting in improved reliability and longer lifetime of the memory cells fabricated according to this invention.
    Type: Grant
    Filed: September 12, 2002
    Date of Patent: October 17, 2006
    Assignee: ProMOS Technologies, Inc.
    Inventors: Chuck Jang, Zhong Dong, Vei-Han Chan, Ching-Hwa Chen
  • Patent number: 7122430
    Abstract: The memory cell transistor has a first cell site gate insulator, a first lower conductive layer on the first cell site gate insulator, a first inter-electrode dielectric on the first lower conductive layer, and a first upper conductive layer on the first inter-electrode dielectric. A select transistor has a second cell site gate insulator having a same thickness as the first cell site gate insulator, a second lower conductive layer on the second cell site gate insulator, a second inter-electrode dielectric on the second lower conductive layer, and a second upper conductive layer on the second inter-electrode dielectric. The peripheral transistor has a first peripheral site gate insulator having a thickness thinner than the first cell site gate insulator.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: October 17, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuhiro Sato, Makoto Sakuma, Fumitaka Arai
  • Patent number: 7118963
    Abstract: A method of manufacturing a semiconductor memory integrated circuit intended to improve properties and reliability of its peripheral circuit includes the step of forming a tunnel oxide film (21a) in the cell array region, gate oxide film (21b) for a high-voltage circuit and gate oxide film (21c) for a low-voltage circuit both in the peripheral circuit to respectively optimum values of thickness, and covering them with a first-layer polycrystalline silicon film (22). After that, device isolation grooves (13) are formed and buried with a device isolation insulating film (14). The first-layer polycrystalline silicon film (24) is a non-doped film, and after device isolation, a second-layer polycrystalline silicon film (24) is doped with phosphorus in the cell array region to form floating gates made of the first-layer polycrystalline silicon film (22) and the second-layer polycrystalline silicon film (24).
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: October 10, 2006
    Inventor: Seiichi Mori
  • Patent number: RE39697
    Abstract: A nonvolatile memory array is encased in a P-well, and the P-well encased in a deep N-well, the two wells separating the memory array from the integrated circuit substrate and from the other circuitry of the integrated circuit. At the same time the deep N-well is formed for the nonvolatile memory array, deep N-wells are formed for the high-voltage P-channel transistors of the logic circuitry. At the same time the P-well is formed for the nonvolatile memory array, P-wells are formed for the low-voltage N-channel transistors. The memory array contains nonvolatile cells of the type used in the ultra-violet-erasable EPROMs. During erasure, the isolated-well formation allows the source, the drain and the channel of selected cells to be driven to a positive voltage. The isolated well is also driven to a positive voltage equal to, or slightly greater than, the positive voltage applied to the source and drain, thus eliminating the field-plate breakdown-voltage problem.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: June 19, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Giulio-Giuseppe Marotta, Giovanni Santin, Michael C. Smayling, Misako A. Matsuoka, Satoru Fukawa