Utilizing Gate Sidewall Structure Patents (Class 438/230)
  • Patent number: 6737314
    Abstract: A method for manufacturing a semiconductor device in which a MOS transistor having a reduction in a leakage current is obtained without unnecessarily damaging an integration of the transistor. After MOS transistor structures having a first sidewall are formed, an interlayer dielectric film is formed over a whole surface. A silicon nitride film is deposited on the interlayer dielectric film. Next, trenches are formed in only a memory cell region through the interlayer dielectric film and the silicon nitride film, such that a side-face of the sidewall is exposed. Another silicon nitride film is deposited along internal walls of the trenches, and a part of the another silicon nitride film formed along the internal walls of the trenches is then removed by etching. Thus, another sidewall acting as a second sidewall is formed adjacently to the first sidewall in the memory cell region.
    Type: Grant
    Filed: April 15, 2002
    Date of Patent: May 18, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Tatsuya Kunikiyo
  • Patent number: 6730556
    Abstract: An integrated circuit device (60) including a first transistor (PMOS) of a first conductivity type and a second transistor (NMOS) of a second conductivity type that is complementary to the first conductivity type. The method includes the steps of forming a first gate stack (100), the first transistor including the first gate stack and forming a second gate stack (80), the second transistor including the second gate stack. The method further includes implanting a first drain extension region (107) at a first distance relative to the first gate stack, the first transistor including the first drain extension region, and the method includes implanting a second drain extension region (87) at a second distance relative to the second gate stack, the second transistor including the second drain extension region. The first distance is greater than the second distance.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: May 4, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Zhiqiang Wu, Che-Jen Hu
  • Patent number: 6727135
    Abstract: A complementary metal oxide semiconductor (CMOS) device having silicide contacts that are self-aligned to deep junction edges formed within a surface of a semiconductor substrate as well as a method of manufacturing the same are disclosed. Specifically, the CMOS device includes a plurality of patterned gate stack regions formed on a surface of a semiconductor substrate. Each plurality of patterned gate stack regions includes an L-shaped nitride spacer formed on exposed vertical sidewalls thereof, the L-shaped nitride spacer having a vertical element and a horizontal element, wherein the horizontal element is formed on a portion of the substrate that abuts each patterned gate stack region. Silicide contacts are located on other portions of the semiconductor substrate between adjacent patterned gate stack regions not containing the horizontal element of the L-shaped nitride spacer.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: April 27, 2004
    Assignee: International Business Machines Corporation
    Inventors: Kam Leung Lee, Ronnen Andrew Roy
  • Patent number: 6724054
    Abstract: A method for fabricating a self-aligned contact in an integrated circuit includes defining first spacer layers over the sidewalls of a pair of wordline stacks. An oxide layer is deposited over the tops of the wordline stacks, the first spacer layers and a surface of the substrate disposed between the first spacer layers. The oxide layer is removed from the first spacer layers, thereby forming a remaining oxide layer that covers the surface of the substrate disposed between the first spacer layers. Second spacer layers are formed over the first spacer layers, and which cover respective portions of the remaining oxide layer. The remaining oxide layer is removed to thereby form undercut regions. The undercut regions are substantially filled with contact material during formation of the contact.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: April 20, 2004
    Assignee: Infineon Technologies AG
    Inventors: Woo-tag Kang, Rajeev Malik, Mihel Seitz
  • Patent number: 6723609
    Abstract: A gate oxide layer and a gate are sequentially formed on a substrate, and a source/drain extension is formed in the substrate thereafter. A liner layer is then formed to cover the substrate, and a first dielectric layer and a second dielectric layer are sequentially formed on the liner layer. By performing an etching process, a L-shaped spacer is formed on either side of the gate. Portions of the liner layer uncovered by the L-shaped spacer are then removed, and a step source/drain extension and a source/drain are simultaneously formed in the substrate thereafter. Finally, a salicide process is performed to form a silicide layer on the gate and on portions of the silicon substrate surface above the source/drain.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: April 20, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Sheng Yang, Chia-Hung Kao, Chin-Cheng Chien
  • Patent number: 6720227
    Abstract: A method of forming source/drain regions in a semiconductor device is provided. In one illustrative embodiment, the method comprises forming a gate electrode above a semiconducting substrate, forming source/drain regions in the substrate adjacent the gate electrode by performing at least the following steps: performing two ion implantation processes to form source/drain extensions for the device and performing a third ion implantation process to further form source/drain regions for the device. Various N-type and P-type dopant atoms such as arsenic, phosphorous, boron and boron difluoride may be used with the present invention.
    Type: Grant
    Filed: January 29, 2002
    Date of Patent: April 13, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Daniel Kadosh, Jon D. Cheek, James F. Buller, Basab Bandyopadhyay
  • Patent number: 6720213
    Abstract: A MOSFET device and a method of fabricating a MOSFET device having low-K dielectric oxide gate sidewall spacers produced by fluorine implantation. The present invention implants fluorine into the gate oxide sidewall spacers which is used to alter the properties of advanced composite gate dielectrics e.g. nitridized oxides, NO, and gate sidewall dielectrics, such that the low-K properties of fluorine are used to develop low parasitic capacitance MOSFETs.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: April 13, 2004
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Jack Mandelman, William R. Tonti
  • Patent number: 6716690
    Abstract: Multiple dopant implantations are performed on a FinFET device to thereby distribute the dopant in a substantially uniform manner along a vertical depth of the FinFET in the source/drain junction. Each of the multiple implantations may be performed at different tilt angles.
    Type: Grant
    Filed: March 12, 2003
    Date of Patent: April 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Haihong Wang, Judy Xilin An, Bin Yu
  • Patent number: 6713357
    Abstract: The present invention relates to a method for fabricating MOS transistors with reduced parasitic capacitance. The present invention is based upon recognition that the parasitic capacitance of MOS transistors, such as are utilized in the manufacture of CMOS and IC devices, can be reduced by use of sidewall spacers having an optimized cross-sectional shape, in conjunction with an overlying insulator layer comprised of a low-k dielectric material.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: March 30, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hai Hong Wang, Mark W. Michael, Wen-Jie Qi, William G. En, John G. Pellerin
  • Patent number: 6713392
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by treating the exposed surfaces of the silicon nitride sidewall spacers with a nitrogen oxide plasma to create a surface region having reduced free silicon. Embodiments include treating the silicon nitride sidewall spacers with a nitrogen plasma to reduce the refractive index of the surface region to less than about 1.95.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: March 30, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo
  • Patent number: 6713337
    Abstract: A semiconductor device comprises an SAC structure having side wall spacers and offset nitride films. In particular, in this semiconductor device, the side wall spacers are constituted from lower side wall spacers that are composed of silicon oxide films and are in contact with the lower side of the gate electrode side walls, and upper side wall spacers that are composed of silicon nitride films and are in contact with the upper side of the gate electrodes side walls. As a result thereof, a distance is formed between the substrate and the interface between the silicon nitride film and the silicon oxide film. This suppresses the hot carrier phenomenon and the occurrence of poor contact.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: March 30, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Akira Takahashi
  • Patent number: 6713826
    Abstract: A gate electrode is made up of a lower electrode of polysilicon and an upper electrode including a low-resistance film. A nitride sidewall is formed to cover at least the side faces of an insulator cap and the upper electrode. A pad oxide film is formed to cover at least part of the side faces of the lower electrode and part of the upper surface of a semiconductor substrate. Since a second nitride sidewall is formed to cover the first nitride sidewall and the pad oxide film, a self-aligned contact hole can be formed by etching. As a result, a semiconductor device with a highly reliable self-aligned contact can be obtained.
    Type: Grant
    Filed: February 3, 2003
    Date of Patent: March 30, 2004
    Assignee: Matsushita Electric Industrial Co., LTD
    Inventors: Takashi Uehara, Masato Kanazawa
  • Patent number: 6709938
    Abstract: An improved source/drain extension process is provided by the following processing steps of implanting NMOS devices directly on either side of the gates without an oxide layer (step D2), covering the gates with a cap oxide layer(step E2), covering NMOS devices with photoresist(step F2), dry etching all PMOS devices (Step G2), and implanting PMOS devices (step I2).
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: March 23, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Donald S. Miles, Douglas T. Grider, P. R. Chidambaram, Amitabh Jain
  • Patent number: 6696334
    Abstract: A method for differential offset spacer formation suitable for incorporation into manufacturing processes for advanced CMOS-technologies devices is presented. The method comprises forming a first insulative layer overlying a plurality of gate structures, then forming a second insulative layer overlying the first insulative layer. A mask is formed to expose a first portion of the second insulative layer overlying a gate structure of a first transistor type, and to protect a second portion of the second insulative layer overlying a gate structure of a transistor of a second transistor type. The exposed first portion of the second insulative layer overlying the gate structure of the first type is then etched. After etching, the mask is removed, and the exposed second portion of the second insulative layer and the first insulative layer are etched to form differential spacers abutting the gate structures. Endpoint is utilized to halt the spacer etch process.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: February 24, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kay Hellig, Srikanteswara Dakshina-Murthy, Christoph Schwan
  • Patent number: 6696340
    Abstract: A method for manufacturing a semiconductor device having a non-volatile memory transistor may include the steps of forming a floating gate 22 over a semiconductor layer 10 through a first insulation layer 20, forming a second insulation layer 26 that contacts the floating gate 22, forming a control gate 28 over the second insulation layer 26, forming a source region 14 and a drain region 16 in the semiconductor layer 10, depositing a insulation layer 40 over the semiconductor layer 10, and etching the insulation layer 40 to form a sidewall insulation layer, wherein the etching of the insulation layer 40 is conducted such that the insulation layer 40 remains above the floating gate 40, and the floating gate 22 is not exposed.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: February 24, 2004
    Assignee: Seiko Epson Corporation
    Inventor: Tomoyuki Furuhata
  • Patent number: 6696333
    Abstract: A method of fabricating integrated circuits includes forming MOSFETs with gate electrodes of a first composition, and sidewall spacers along laterally opposed sides of those gate electrodes, removing the gate electrodes of the first composition, and replacing those gate electrodes with a gate electrode structure having at least two layers of metal. In a further aspect of the present invention, complementary metal oxide semiconductor integrated circuits are fabricated by replacing n-channel transistor gate electrodes with gate electrodes having at least a first metal and a second metal, and further replacing the p-channel transistor gate electrodes with gate electrodes having a third metal and a fourth metal. The first and second metal combination includes, but is not limited to, TiN and Al. The third and fourth metal combination includes, but is not limited to, TaN and Ni; TaN and Pd; and TaN and Pt.
    Type: Grant
    Filed: December 30, 1999
    Date of Patent: February 24, 2004
    Assignee: Intel Corporation
    Inventors: Jun-Fei Zheng, Chunlin Liang
  • Publication number: 20040033657
    Abstract: A method for fabricating a memory unit with T-shaped gate. A semiconductor substrate forming a dielectric layer, a first opening, and a second opening is provided in a CMOS process. A silicate glass spacer is formed on the sidewall of the first opening and is thermally oxidized to form a light doped area under the silicate glass spacer. The silicate glass spacer is removed. An insulating spacer is formed on the sidewall of the first opening. A first spacer is formed on a sidewall of the second opening. N-type conducting spacers are formed respectively on sidewalls of the insulating spacer and the first spacer. Gate dielectric layers are formed respectively in the first opening and the second opening. A P-type conducting layer fills with the first opening and the second opening, and a second spacer is formed on a sidewall of a conducting spacer of the second opening.
    Type: Application
    Filed: May 9, 2003
    Publication date: February 19, 2004
    Applicant: Nanya Technology Corporation
    Inventors: Ying-Cheng Chuang, Chung-Lin Huang, Chi-Hui Lin
  • Patent number: 6689654
    Abstract: An integrated circuit device includes a substrate that has a source region and a drain region formed therein. A gate pattern is disposed on the substrate between the source region and the drain region. A lower pad layer is disposed on the source region and/or the drain region and comprises a same crystalline structure as the substrate. A conductive layer is disposed on the lower pad layer such that at least a portion of the conductive layer is disposed between the lower pad layer and the gate pattern. An insulating layer is disposed between the gate pattern and both the lower pad layer and the conductive layer, and also between the conductive layer and the substrate.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: February 10, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-pil Kim, Beom-jun Jin, Hyoung-joon Kim, Byeong-yun Nam
  • Patent number: 6677652
    Abstract: Methods for forming dual-metal gate CMOS transistors are described. An NMOS and a PMOS active area of a semiconductor substrate are separated by isolation regions. A metal layer is deposited over a gate dielectric layer in each active area. Oxygen ions are implanted into the metal layer in one active area to form an implanted metal layer which is oxidized to form a metal oxide layer. Thereafter, the metal layer and the metal oxide layer are patterned to form a metal gate in one active area and a metal oxide gate in the other active area wherein the active area having the gate with the higher work function is the PMOS active area. Alternatively, both gates may be metal oxide gates wherein the oxide concentrations of the two gates differ. Alternatively, a dummy gate may be formed in each of the active areas and covered with a dielectric layer. The dielectric layer is planarized thereby exposing the dummy gates. The dummy gates are removed leaving gate openings to the semiconductor substrate.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: January 13, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wenhe Lin, Mei-Sheng Zhou, Kin Leong Pey, Simon Chooi
  • Patent number: 6673664
    Abstract: A method of making a self-aligned ferroelectric memory transistor includes preparing a substrate, shallow trench isolation, n the polysilicon; and forming a gate stack, including: depositing a layer of silicon nitride; selectively etching the silicon nitride, the bottom electrode and the polysilicon; selectively etching the polysilicon to the level of the first dielectric layer; and implanting and activating ions to form a source region and a drain region; forming a sidewall barrier layer; depositing a layer of ferroelectric material; forming a top electrode structure on the ferroelectric material; and finishing the structure, including passivation, oxide depositing and metallization.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: January 6, 2004
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Sheng Teng Hsu, Tingkai Li, Fengyan Zhang
  • Publication number: 20030235936
    Abstract: A CMOS device and method of fabrication are disclosed. The present invention utilizes Schottky barrier contacts for source and/or drain contact fabrication within the context of a CMOS device and CMOS integrated circuits, to eliminate the requirement for halo/pocket implants, shallow source/drain extensions to control short channel effects, well implant steps, and complex device isolation steps. Additionally, the present invention eliminates the parasitic bipolar gain associated with CMOS device operation, reduces manufacturing costs, tightens control of device performance parameters, and provides for superior device characteristics as compared to the prior art. The present invention, in one embodiment, uses a silicide exclusion mask process to form the dual silicide Schottky barrier source and/or drain contact for the complimentary PMOS and NMOS devices forming the CMOS device.
    Type: Application
    Filed: May 16, 2003
    Publication date: December 25, 2003
    Inventors: John P. Snyder, John M. Larson
  • Publication number: 20030211684
    Abstract: A new method is provided for the creation of sub-micron gate electrode structures. A high-k dielectric is used for the gate dielectric, providing increased inversion carrier density without having to resort to aggressive scaling of the thickness of the gate dielectric while at the same time preventing excessive gate leakage current from occurring. Further, air-gap spacers are formed over a stacked gate structure. The gate structure consists of pre-doped polysilicon of polysilicon-germanium, thus maintaining superior control over channel inversion carriers. The vertical field between the gate structure and the channel region of the gate is maximized by the high-k gate dielectric, capacitive coupling between the source/drain regions of the structure and the gate electrode is minimized by the gate spacers that contain an air gap.
    Type: Application
    Filed: June 16, 2003
    Publication date: November 13, 2003
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventor: Jyh-Chyurn Guo
  • Publication number: 20030203611
    Abstract: In order to realize a dual gate CMOS semiconductor device with little leakage of boron that makes it possible to divisionally doping a p-type impurity and an n-type impurity into a polycrystalline silicon layer with one mask, a gate electrode has a high melting point metal/metallic nitride barrier/polycrystalline silicon structure. The boron is pre-doped in the polycrystalline silicon layer. The phosphorus or arsenic is doped in an n-channel area. Then, the annealing in a hydrogen atmosphere with vapor added therein is performed. As a result, the boron is segregated on the interface of the metallic nitride film and the phosphorus is segregated on the interface of the gate oxide film, for forming an n+ gate.
    Type: Application
    Filed: May 30, 2003
    Publication date: October 30, 2003
    Inventor: Naoki Yamamoto
  • Patent number: 6627489
    Abstract: A method for making CMOQ transistors and associated devices. The method is used to make transistors of a first type and a second type in CMOS technology in an active layer. The method etches regions of the active layer or making them inactive so as to define active islands designed to form sources, channels of determined width, and drains of the transistors of the first type and second type respectively, covers at least two active islands with an insulating layer and covers the insulating layer with a conductive layer, and sequentially etches all the gates of the transistors of the first type and then all the gates of the transistors of the second type. The associated devices includes CMOS transistor devices obtained by the method. Such a method may particularly find application to devices for the addressing and control of active matrix liquid crystal displays.
    Type: Grant
    Filed: August 18, 2000
    Date of Patent: September 30, 2003
    Assignee: Thomson-CSF
    Inventors: François Plais, Carlo Reita, Odile Huet
  • Publication number: 20030178685
    Abstract: A dose of arsenic for an extension region in an NMOS transistor is in a range from 5×1014 to 2×1015 ions/cm2 and preferably in a range from 1.1×1015 to 1.5×1015 ions/cm2. Also, in addition to arsenic, a low concentration of phosphorus is doped into the extension region by ion implantation. Consequently, with a semiconductor device of the CMOS structure, it is possible to prevent unwanted creeping of silicide that occurs often in the shallow junction region depending on a concentration of an impurity having a low diffusion coefficient as represented by arsenic. Further, not only can the resistance in the shallow junction region be lowered, but also an amount of overlaps can be optimized in each transistor.
    Type: Application
    Filed: October 21, 2002
    Publication date: September 25, 2003
    Applicant: FUJITSU LIMITED
    Inventor: Takashi Saiki
  • Patent number: 6617204
    Abstract: A method of forming a protective film to prevent a nitride read only memory is disclosed. In the method of the present invention, the protective layers are formed in the inter-level dielectrics (ILD)/inter-metal dielectrics (IMD) layer of the nitride read only memory cell, and the protective layers can prevent the nitride read only memory cell from being penetrated by the ultra-violet light or plasma, and avoid increasing the ion mobility to cause the charge gain during the process that affects the stability of the electricity of the nitride read only memory cell. Additionally, the threshold voltage of the nitride read only memory cell can decrease to expand the range of the threshold voltage.
    Type: Grant
    Filed: August 13, 2001
    Date of Patent: September 9, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Jiann-Long Sung, Chen-Chin Liu, Li-Yeh Chou
  • Patent number: 6596576
    Abstract: A method of fabricating a semiconductor device having a gate structure comprising SiO2 and Si3N4 that exhibits reduced hydrogen diffusion during low temperature chemical vapor deposition of silicon nitride. In the method, a silicon dioxide (SiO2) layer is deposited on a wafer after a gate structure is fabricated. A barrier layer is formed on the silicon dioxide (SiO2) layer. Then a silicon nitride layer is formed over it by low temperature chemical vapor deposition. The barrier layer reduces, and may even altogether prevent, diffusion of the hydrogen absorbed by the silicon nitride layer into the gate oxide and channel during the low temperature chemical vapor deposition of silicon nitride.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: July 22, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Tzy-Tzan Fu, Kuan-Ting Lin, Chao-Sheng Chou
  • Patent number: 6593197
    Abstract: This invention provides methods of forming a field-effect transistor in an integrated circuit using self-aligning technology on the basis of a sidewall spacer masking procedure, both for defining the device isolation features and the source and drain regions. The active region is defined after patterning the gate electrode by means of deposition and etch processes instead of overlay alignment technique. Thus, the present invention enables an increase of the integration density of semiconductor devices, a minimization of the parasitic capacitances in field-effect transistor devices, and a quicker manufacturing process.
    Type: Grant
    Filed: March 19, 2001
    Date of Patent: July 15, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Karsten Wieczorek, Manfred Horstmann, Rolf Stephan, Michael Raab
  • Patent number: 6583016
    Abstract: Semiconductor devices with improved transistor performance are fabricated by ion-implanting a dopant into the oxide liner to prevent or substantially reduce dopant out-diffusion from the shallow source/drain extensions. Embodiments include ion implanting a P-type dopant, such as B or BF2, using the gate electrode as a mask, to form shallow source/drain extensions, depositing a conformal oxide liner, and ion implanting the P-type impurity into the oxide liner at substantially the same dopant concentration as in the shallow source/drain extensions. Subsequent processing includes depositing a spacer layer, etching to form sidewall spacers, ion implanting to form deep moderate or heavy source/drain implants and activation annealing.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: June 24, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andy C. Wei, Mark B. Fuselier, Ping-Chin Yeh
  • Publication number: 20030113960
    Abstract: A semiconductor wafer is provided having both a memory array area and a periphery circuit region defined on the surface of the semiconductor wafer. A gate composed of a silicon oxide layer and a silicon germanium layer is formed on the surface of the periphery circuit region, and a spacer, a source and a drain of the MOS transistor are formed around the gate. Finally, a nickel (Ni) layer is formed on the surface of the source and the drain, and a rapid thermal annealing process (RTA process) with a temperature ranging between 400° C. and 500° C. is performed for forming a silicon nickel layer on the surface of the source and the drain. Additionally, a shallow junction for the source and the drain is formed.
    Type: Application
    Filed: December 19, 2001
    Publication date: June 19, 2003
    Inventor: Kent Kuohua Chang
  • Patent number: 6573132
    Abstract: A gate electrode is made up of a lower electrode of polysilicon and an upper electrode including a low-resistance film. A nitride sidewall is formed to cover at least the side faces of an insulator cap and the upper electrode. A pad oxide film is formed to cover at least part of the side faces of the lower electrode and part of the upper surface of a semiconductor substrate. Since a second nitride sidewall is formed to cover the first nitride sidewall and the pad oxide film, a self-aligned contact hole can be formed by etching. As a result, a semiconductor device with a highly reliable self-aligned contact can be obtained.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: June 3, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takashi Uehara, Masato Kanazawa
  • Patent number: 6573583
    Abstract: Provided is a semiconductor device, comprising a gate electrode formed on a semiconductor substrate, source/drain diffusion layers formed on both sides of the gate electrode, a gate electrode side-wall on the side of the source/drain diffusion layer and a gate side-wall insulating film covering a part of the upper surface of the semiconductor substrate in the vicinity of the gate electrode and having an L-shaped/reversed L-shaped cross-sectional shape, and a semiconductor layer extending over the gate side-wall insulating film covering a part of the upper surface of the semiconductor substrate in the vicinity of the gate electrode.
    Type: Grant
    Filed: December 19, 2001
    Date of Patent: June 3, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akira Hokazono
  • Patent number: 6566183
    Abstract: The invention provides a method of making a transistor. A gate dielectric layer is formed on a semiconductor substrate. A gate is formed on the dielectric layer, the gate having an exposed upper surface and exposed side surfaces. A first silicon nitride layer having a first thickness is deposited over the gate, for example over an oxide layer on the gate, at a first deposition rate. A second silicon nitride layer having a second thickness is deposited over the first silicon nitride layer at a second deposition rate, the second thickness being more that the first thickness and the second deposition rate being more than the first deposition rate. The first silicon nitrogen layer then has a lower hydrogen concentration. At least the second silicon nitride layer (or a silicon oxide layer in the case of an ONO spacer) is etched to leave spacers next to the side surfaces while exposing the upper surface of the gate and areas of the substrate outside the spacers.
    Type: Grant
    Filed: December 12, 2001
    Date of Patent: May 20, 2003
    Inventors: Steven A. Chen, Lee Luo, Kegang Huang, Tzy-Tzan Fu, Kuan-Ting Lin, Hung-Chuan Chen
  • Patent number: 6562680
    Abstract: A method of manufacturing a semiconductor device comprising the steps of: (a) depositing a gate insulating film, a floating gate silicon film, an insulating film between gates, and a control gate silicon film in this order on a silicon substrate and forming thereon a third insulating film; (b) etching said films until the silicon substrate is exposed to form a gate electrode and to open regions for a source and a drain; (c) removing the third insulating film while leaving it on one end or both ends of the gate electrode in the direction of channel length so that the control gate silicon film is partially exposed; (d) forming sidewall spacers on sidewalls of the gate electrode and the third insulating film remaining on the gate electrode; (e) depositing a refractory metal film over the entire surface; and (f) performing a thermal treatment for simultaneous silicidation of the refractory metal film with the exposed control gate silicon film and the silicon substrate to form a metal silicide layer on each of the
    Type: Grant
    Filed: October 17, 2000
    Date of Patent: May 13, 2003
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Keiko Asakawa
  • Publication number: 20030082872
    Abstract: The present invention includes methods and structures for forming at least a substantially self-aligned MOSFET. According to the present invention, a method of fabricating a semiconductor device includes providing a substrate; providing first materials (such as a first polysilicon) having horizontal surfaces and also having opposed vertical surfaces forming a trench; providing a second material (such as a second polysilicon) in the trench and over the vertical and horizontal surfaces, the second material having a substantially (eg, ±10%) uniform thickness so as to form a notch over the trench; providing a masking material (such as an oxide or a nitride) into the notch, and then removing the second material using the masking material as a mask in a direction toward the first material, so that a vertical surface of one of the first materials is at least substantially aligned with a vertical surface of the second material.
    Type: Application
    Filed: October 25, 2001
    Publication date: May 1, 2003
    Inventor: Effendi Leobandung
  • Patent number: 6545370
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by employing composite silicon nitride sidewall spacers comprising an outer layer having reduced free silicon. Embodiments include forming composite silicon nitride sidewall spacers comprising an inner silicon nitride layer, having a refractive index of about 1.95 to about 2.05 and a thickness of about 450 Å to about 550 Å, on the side surfaces of the gate electrode and an outer silicon nitride layer, having a refractive index to less than about 1.95 and a thickness of about 350 Å to about 450 Å.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: April 8, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Paul R. Besser
  • Patent number: 6537885
    Abstract: A method of manufacturing a transistor by using two layers of a silicon epitaxial layer is disclosed. In the first step of the manufacturing process, a spacer is formed around gate structures. Then, a first silicon epitaxial layer is grown on the wafer. Then, a second spacer is deposited and then etched, such that the second spacer remains around a gate structure. Next a second silicon epitaxial layer is grown on the first silicon epitaxial layer, and the second spacer is etched from around the gate structure. After etching the first oxide spacer, ions are implanted at a first energy level to form four junctions. Then a third spacer is deposited and etched, so that the third spacer remains around the gate structures. Then ions are implanted at a second energy level to form two more junctions, each of these two junctions being located between two of the earlier formed junctions. The junctions and the gate structures provide a transistor structure.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: March 25, 2003
    Assignee: Infineon Technologies AG
    Inventors: Woo-Tag Kang, Kil-Ho Lee
  • Patent number: 6537866
    Abstract: A method for forming insulating spacers for separating conducting layers in semiconductor wafer fabrication. The spacers are formed by removing portions of a protective photoresist layer through photolithography, and then through etching of exposed portions of the insulating layer. The spacers allow for fabrication of components that are smaller in size than are obtainable through conventional photolithography methods.
    Type: Grant
    Filed: October 18, 2000
    Date of Patent: March 25, 2003
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Jeffrey A. Shields, Tuan D. Pham, Jusuke Ogura, Bharath Rangarajan, Simon Siu-Sing Chan
  • Patent number: 6531353
    Abstract: A method for fabricating a semiconductor device is disclosed, which reduces defects of a device by improving the process to improve the production yield.
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: March 11, 2003
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Ki Jik Lee
  • Patent number: 6518130
    Abstract: A semiconductor device comprising a plurality of first transistors formed in a first region of a semiconductor substrate and a plurality of second transistors formed in a second region of the semiconductor substrate, wherein each of the first and second transistors has a gate electrode, a channel-forming region and source/drain regions; the gate electrodes constituting the first and second transistors are formed of a polysilicon layer containing an impurity and a silicide layer formed thereon; a silicide layer is formed in the source/drain regions constituting the first transistor; and no silicide layer is formed in the source/drain regions constituting the second transistor.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: February 11, 2003
    Assignee: Sony Corporation
    Inventor: Keiichi Ohno
  • Publication number: 20030022429
    Abstract: A reliable electrode structure capable of ensuring a sufficient width for a second conductive layer is provided. The electrode structure comprises a first conductive layer having first side walls and containing at least either polycrystalline silicon or amorphous silicon, a second conductive layer, formed on the first conductive layer, having second side walls and containing a metal and silicon, and side wall oxide films formed to be in contact with the first side walls and the second side walls. The first conductive layer and the second conductive layer contain nitrogen in the vicinity of the first and second side walls. The nitrogen concentration in the second side walls is larger than the nitrogen concentration in the first side walls.
    Type: Application
    Filed: April 29, 2002
    Publication date: January 30, 2003
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Akira Matsumura
  • Publication number: 20030011080
    Abstract: Divot fill methods of incorporating thin SiO2 spacer and/or annealing caps into a complementary metal oxide semiconductor (CMOS) processing flow are provided. In accordance with the present invention, the divot fill processes provide a means for protecting the exposed surfaces of the thin SiO2 spacer and/or annealing cap such that those surfaces are not capable of being attacked by a subsequent silicide pre-cleaning step. CMOS devices including thin SiO2 spacer and/or annealing caps whose surfaces are protected such that those surfaces are not capable of being attacked by a subsequent silicide pre-cleaning or other process steps are also provided.
    Type: Application
    Filed: July 11, 2001
    Publication date: January 16, 2003
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sadanand V. Deshpande, Bruce B. Doris, Rajarao Jammy, William H. Ma
  • Patent number: 6504192
    Abstract: With respect to a desired gate electrode (A) and dummy gate electrodes (B, C), side wall spacers (3a, 3b, 3c) of the respective gate electrodes are formed by dry etching such as an RIE method, and the etching characteristic at the time of formation of the side wall spacer is utilized so that the side wall spacer width of the desired gate electrode is controlled by adjusting gap differences between the gate electrodes by properly arranging the dummy electrode; and thus, it is possible to obtain desired transistor characteristics.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: January 7, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Eiji Hasunuma
  • Patent number: 6504210
    Abstract: A fully polysilicon encapsulated metal-containing damascene gate structure is provided that is useful in Gigabit DRAM (dynamic random access memory) device. The fully encapsulated metal-containing damascene gate comprises a semiconductor substrate having a gate oxide layer formed on a surface portion of said substrate; a gate polysilicon layer formed on said gate oxide layer; a metal layer formed on said polysilicon layer; and a cap oxide layer formed on said metal layer, wherein said metal layer is completely encapsulated by said polysilicon and oxide layers. The damascene gate structure may also include polysilicon spacers formed on said gate polysilicon layer and said metal layer is encapsulated therein and outer polysilicon sidewalls that are oxidized.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: January 7, 2003
    Assignee: International Business Machines Corporation
    Inventors: Ramachandra Divakaruni, Jeffrey Peter Gambino, Jack A. Mandelman, Viraj Sardesai, Mary Elizabeth Weybright
  • Patent number: 6492218
    Abstract: A manufacturing method of a semiconductor device, in which a native oxide film on a silicon substrate is removed before ion implantation is performed, and a process that the surface of the silicon substrate is liable to be oxidized, such as a resist removing process, is not to be performed after the ion implantation, is provided. At a source/drain extension region forming process after a gate electrode is formed, a pMOS region is covered with a resist, and As or P is implanted to an nMOS region by low energy implantation. After removing the resist from the pMOS region, a cover insulation film with about 20 nm thickness is disposed on the whole surface of a silicon substrate. The cover insulation film only at the pMOS region is removed by etching back, and a thin film side wall is formed on the gate electrode of the pMOS region. By removing the resist at the nMOS region, a hard mask is formed at the nMOS region, this hard mask works as a mask at the nMOS region when pMOS extension is performed.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: December 10, 2002
    Assignee: NEC Corporation
    Inventor: Akira Mineji
  • Publication number: 20020182800
    Abstract: The period of time required for a parallel test can be shortened by widening the application range of the parallel test. In the semiconductor memory device having a memory cell portions (5-A, 5-B), there are provided a column control means (1˜4) for simultaneously activating a plurality of columns which are subject to the degenerate substitution in the column redundant substitute; and a data read-out means (6-A, 6-B, SDBP-B0, SDBP-B1, and 9) for simultaneously reading out the data from a plurality of memory cells as selected by the above plurality of columns.
    Type: Application
    Filed: March 27, 2002
    Publication date: December 5, 2002
    Inventors: Koji Kuroki, Hidekazu Noguchi
  • Patent number: 6489191
    Abstract: A method for forming a CMOS transistor gate with a self-aligned. channel implant. A semiconductor structure having a first active area is provided. A first insulating layer is formed on the semiconductor structure, and a second insulating layer is formed on the first insulating layer. The second insulating layer is patterned using a poly reverse mask and an etch selective to the first insulating layer to form a first channel implant opening, and the poly reverse mask is removed. A first channel implant mask is formed exposing the first channel implant opening. Impurity ions are implanted through the first channel implant opening to form a first threshhold adjust region and a first anti-punchthrough region. A gate layer is formed over the semiconductor structure, and the first gate layer is planarized to form a gate electrode. The second insulating layer is removed, and lightly doped source and drain regions, sidewall spacers and source and drain regions can be formed adjacent the gate electrode.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: December 3, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Kai Shao, Yimin Wang, Jian Xun Li, Shao-Fu Sanford Chu
  • Publication number: 20020173093
    Abstract: A disposable spacer for use in a semiconductor device fabrication process is formed of a germanium-silicon alloy. The germanium-silicon alloy may include a first portion (x) of germanium and a second portion (1−x) of silicon, wherein x is greater than about 0.2. A method of forming the disposal spacer includes providing a device structure and forming a layer of germanium-silicon alloy on the device structure. The layer is then etched to form the disposable spacer. The device structure may include a substrate and a gate structure with the disposable spacers formed at sidewalls thereof. Further, the device structure may include a substrate having an oxidation mask formed thereon with the disposable spacers formed relative to sidewalls of the oxidation mask. In addition, the method includes removing the disposable spacer by oxidizing the spacer to form volatile GexSiyO. Any unvolatilized GexSiyO may be removed using water.
    Type: Application
    Filed: July 25, 2002
    Publication date: November 21, 2002
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Werner Juengling
  • Patent number: 6482708
    Abstract: A nonvolatile memory device having a lightly doped source and a method for manufacturing the same are provided. In the nonvolatile memory device, a first insulating layer, a floating gate, a second insulating layer and a control gate are sequentially formed on a semiconductor substrate, and a drain, a lightly doped source and a highly doped source are formed around a surface of the semiconductor substrate. At this time, the highly doped source is shallower than the drain without being overlapped by the floating gate. Thus, the integration of the memory cell can be increased, and the trapping of electrons is reduced in the first insulating layer formed between the floating gate and the lightly doped source, to thereby enhance the characteristics of the memory cell.
    Type: Grant
    Filed: September 13, 2001
    Date of Patent: November 19, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-hyuk Choi, Jong-han Kim
  • Patent number: 6483154
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by treating the exposed surfaces of the silicon nitride sidewall spacers with a nitrogen oxide plasma to create a surface region having reduced free silicon. Embodiments include treating the silicon nitride sidewall spacers with a nitrogen plasma to reduce the refractive index of the surface region to less than about 1.95.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: November 19, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo