Utilizing Gate Sidewall Structure Patents (Class 438/230)
  • Patent number: 6977226
    Abstract: The present invention provides a semiconductor memory device capable of preventing bridge formations in a peripheral circuit region and improving a process margin and a method for fabricating the same. The semiconductor memory device includes: a cell region; a peripheral circuit region adjacent to the cell region; and a plurality of line patterns formed in the cell region and the peripheral circuit region, wherein a spacing distance between the line patterns is at least onefold greater than a width of the line pattern.
    Type: Grant
    Filed: July 7, 2003
    Date of Patent: December 20, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung-Kwon Lee
  • Patent number: 6974752
    Abstract: A gate having sidewalls is formed on an integrated circuit substrate. A barrier layer spacer is formed on the sidewalls of the gate. A portion of the barrier layer spacer protrudes from the sidewalls of the gate exposing a lower surface of the barrier layer spacer that faces the integrated circuit substrate. A silicide layer is formed on the portion of the barrier layer spacer protruding from the sidewalls of the gate. Related devices are also provided.
    Type: Grant
    Filed: April 24, 2003
    Date of Patent: December 13, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Se-myeong Jang, Gyo-young Jin, Yong-chul Oh, Hyun-chang Kim
  • Patent number: 6969646
    Abstract: A process sequence used to integrate an anneal cycle, used to activate ion implanted dopants in a polysilicon gate structure, and the definition of offset silicon oxide spacers on the sides of the polysilicon gate structure, has been developed. The process sequence features ion implantation of dopants into a blanket polysilicon layer located overlying a metal oxide semiconductor field effect transistor (MOSFET), gate insulator layer. After definition of the polysilicon gate structure a silicon oxide layer is deposited, followed by an anneal procedure allowing activation of the implanted dopants in the polysilicon gate structure to occur. Out diffusion of implanted dopants during the activation anneal procedure is minimized as a result of the overlying silicon oxide layer. An anisotropic dry etching procedure is then performed on the silicon oxide layer resulting in the definition of offset silicon oxide spacers on the sides of the polysilicon gate structure.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: November 29, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Elgin Quek, Francis Benistant
  • Patent number: 6967133
    Abstract: The present invention provides a method for fabricating a semiconductor structure having a plurality of gate stacks (GS1, GS2, GS3, GS4) on a semiconductor substrate (10), having the following steps: application of the gate stacks (GS1, GS2, GS3, GS4) to a gate dielectric (11) above the semiconductor substrate (10); formation of a sidewall oxide (17) on sidewalls of the gate stacks (GS1, GS2, GS3, GS4); application and patterning of a mask (12) on the semiconductor structure; and implantation of a contact doping (13) in a self-aligned manner with respect to the sidewall oxide (17) of the gate stacks (GS1, GS2) in regions not covered by the mask (12).
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: November 22, 2005
    Assignee: Infineon Technologies AG
    Inventors: Jürgen Amon, Jürgen Faul, Ulrike Gruening, Frank Jakubowski, Thomas Schuster, Rudolf Strasser
  • Patent number: 6962862
    Abstract: A method of manufacturing a semiconductor device having an isolation region, a trench formed on a semiconductor substrate and an insulating film buried within the trench; includes: forming a gate electrode in an active region adjacent to the isolation region; applying an ion implantation onto the substrate to form a first dopant diffusion region; forming a first and a second insulating film, on the entire surface of the substrate; performing an etch back, to form a first sidewall of the second insulating film on a lateral face of the gate electrode; etching the first insulating film to form a second sidewall of the first insulating film on the lateral face of; making another ion implantation to form a second dopant diffusion region; forming an interlayer insulating film; and forming a contact hole to reach the second dopant diffusion region.
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: November 8, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Keita Kumamoto
  • Patent number: 6943077
    Abstract: A method of forming spacers with different widths on a semiconductor substrate, includes the steps of disposing a first spacer layer over the substrate, defining the first spacer layer into a plurality of spacers of a first width, and disposing a second spacer layer selectively over the first spacer layer of a number of the spacers preselected for the second spacer layer, the predetermined number of the spacers with the second spacer layer each having a second width which is different from the first width.
    Type: Grant
    Filed: April 7, 2003
    Date of Patent: September 13, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ai-Sen Liu, Baw-Ching Perng, Ming-Ta Lei, Yih-Shung Lin, Cheng-Chung Lin, Chia-Hui Lin
  • Patent number: 6943119
    Abstract: In accordance with the objectives of the invention a new Method and recipe is provided for etching of stacked layers of polysilicon. The invention provides for an added flash step after the conventional Overall Etch (OE).
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: September 13, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: May-Ho Ko
  • Patent number: 6939786
    Abstract: A method of manufacturing a semiconductor device having self-aligned contact structure with side wall spacers and offset nitride films. The method includes forming the side wall spacers as having lower side wall spacers that are composed of silicon oxide films and that are in contact with lower sides of gate electrode side walls, and as having upper side wall spacers that are composed of silicon nitride films and that are in contact with upper sides of the gate electrodes side walls. A distance is thus formed between the device substrate and an interface between the silicon nitride film and the silicon oxide film. This suppresses the hot carrier phenomenon and the occurence of poor contact.
    Type: Grant
    Filed: February 18, 2004
    Date of Patent: September 6, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Akira Takahashi
  • Patent number: 6936529
    Abstract: The present invention relates to a method for fabricating a gate electrode of a semiconductor device with a double hard mask capable of preventing an abnormal oxidation of a metal layer included in the gate electrode and suppressing stress generation. The method includes the steps of: forming a gate insulation layer on a substrate; forming a gate layer structure containing at least a metal layer on the gate insulation layer; forming a hard mask oxide layer on the gate layer structure at a temperature lower than an oxidation temperature of the metal layer; forming a hard mask nitride layer on the hard mask oxide layer; patterning the hard mask oxide layer and the hard mask nitride layer as a double hard mask for forming the gate electrode; and forming the gate electrode by etching the gate layer structure with use of the double hard mask as an etch mask.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: August 30, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Yong Lim, Heung-Jae Cho, Jung-Ho Lee, Se-Aug Jang, Yong-Soo Kim, Byung-Seop Hong, Jae-Geun Oh, Hong-Seon Yang, Hyun-Chul Sohn
  • Patent number: 6927135
    Abstract: The invention includes methods of fabricating multiple sets of field effect transistors. In one implementation, an etch stop layer is formed over an insulative capping layer which is formed over a conductive gate layer formed over a substrate. The etch stop layer, the insulative capping layer, and the conductive gate layer are patterned and etched to form a first set of conductive gate constructions over the substrate. A dielectric material is formed and planarized over the first set of gate constructions. Thereafter, the insulative capping layer and the conductive gate layer are patterned and etched to form a second set of conductive gate constructions over the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: August 9, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Fred D. Fishburn, Martin Ceredig Roberts
  • Patent number: 6911740
    Abstract: According to embodiments of the present invention, methods of manufacturing a semiconductor device, and semiconductor devices manufactured thereby, are provided. A field region is formed that defines active regions in a semiconductor substrate. Spaced apart gates are formed on the active regions in the semiconductor substrate. The gates have sidewalls that extend away from the semiconductor substrate. First spacers are formed on the sidewalls of the gates. Second spacers are formed on the first spacers and opposite to the gates. Ion impurities are implanted into the active regions in the semiconductor substrate, adjacent to the gates, using the first and second spacers as an ion implantation mask. A portion of the second spacers is removed to widen the gaps between the gates. A dielectric layer is formed on the semiconductor substrate in the gaps between the gates.
    Type: Grant
    Filed: May 17, 2004
    Date of Patent: June 28, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-soo Chun, Dong-won Shin, Ki-nam Kim
  • Patent number: 6908822
    Abstract: An insulating layer (24, 66, 82) is formed over a stack (14) of materials and a semiconductor substrate (12) and an implant is performed through the insulating layer into the semiconductor substrate. In one embodiment, spacers (26) are formed over the insulating layer (24), the insulating layer (24) is etched, and heavily doped regions (36) are formed adjacent the spacers. The spacers (26) are then removed and extension regions (50) and optional halo regions (46) are formed by implanting through the insulating layer (24). In one embodiment, the insulating layer (24) is in contact with the semiconductor substrate (12). In one embodiment, the stack (14) is a gate stack including a gate dielectric (18), a gate electrode (16), and an optional capping layer (22). The insulating layer (24, 66, 82) may include nitrogen, such as silicon nitride and aluminum nitride. In another embodiment, the insulating layer (24, 66, 82) may be hafnium oxide.
    Type: Grant
    Filed: September 15, 2003
    Date of Patent: June 21, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Michael J. Rendon, John M. Grant, Ross E. Noble
  • Patent number: 6908800
    Abstract: A mixed voltage CMOS process for high reliability and high performance core transistors and input-output transistors with reduced mask steps. A gate stack (30) is formed over the silicon substrate (10). Ion implantation is performed of a first species and a second species to produce the doping profiles (70, 80, 90, 100) in the input-output transistors.
    Type: Grant
    Filed: May 18, 2000
    Date of Patent: June 21, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Youngmin Kim, Shawn T. Walsh
  • Patent number: 6905922
    Abstract: A semiconductor device having a plurality of silicidation steps is provided. In the preferred embodiment in which the semiconductor device is a MOSFET, the source/drain regions are silicided. A dielectric layer is formed and the etch stop layer is removed from the gate electrode of the MOSFET. A second silicidation process is performed to silicide the gate electrode. The process may be performed individually for each transistor, allowing the electrical characteristics of each transistor to be determined individually.
    Type: Grant
    Filed: October 3, 2003
    Date of Patent: June 14, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chuan-Yi Lin, Yee-Chia Yeo
  • Patent number: 6905923
    Abstract: A method of fabricating an SMOS integrated circuit with source and drain junctions utilizes an offset gate spacer for N-type transistors. Ions are implanted to form the source and drain regions in a strained layer. The offset spacer reduces problems associated with Arsenic (As) diffusion on strained semiconductor layers. The process can be utilized for SMOS metal oxide semiconductor field effect transistors (MOSFETs). The strained layer can be a strained silicon layer formed above a germanium layer.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: June 14, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Haihong Wang, Qi Xiang
  • Patent number: 6887747
    Abstract: There is disclosed a semiconductor device in which a device isolating insulating film is formed in a periphery of a device region of a semiconductor silicon substrate device region. A side wall insulating film formed of a silicon nitride film is formed to cover the periphery of a channel region on the silicon substrate. A Ta2O5 film, and a metal gate electrode are formed inside a trench whose side wall is formed of the side wall insulating film. An interlayer insulating film is formed on the device isolating insulating film. A Schottky source/drain formed of silicide is formed on the silicon substrate in a bottom portion of the trench whose side wall is formed of the side wall insulating film and interlayer insulating film. A source/drain electrode is formed on the Schottky source/drain.
    Type: Grant
    Filed: July 26, 2002
    Date of Patent: May 3, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Yagishita, Kouji Matsuo
  • Patent number: 6867082
    Abstract: Nonvolatile memory cells having a split gate structure and methods of fabricating the same are provided. The nonvolatile memory cells include active regions defined at a predetermined region of a semiconductor substrate. A portion of each of the active regions is etched to form a cell trench region. Insulated floating gates are disposed on a pair of sidewalls parallel with the direction that crosses the active region. A source region is disposed at a bottom surface of the cell trench region. A gap region between the floating gates is filled with a common source line electrically connected to the source region. The common source line is extended along the direction that crosses the active regions. The active regions, which are adjacent to the floating gates, are covered with word lines parallel with the common source line. Drain regions are disposed in the active regions adjacent to the word lines. The drain regions are electrically connected to bit lines that cross over the word lines.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: March 15, 2005
    Assignee: Samsung Electronics Co., LTD
    Inventors: Jin-Woo Kim, Dong-Jun Kim, Min-Soo Cho, Dai-Geun Kim
  • Patent number: 6864135
    Abstract: A semiconductor fabrication process is disclosed wherein a first gate (108, 114) is formed over a first portion of a semiconductor substrate (102) and a second gate (114, 108) is formed over a second portion of the substrate (102). A spacer film (118) is deposited over substrate (102) and first and second gates (108, 114). First spacers (126) are then formed on sidewalls of the second gate (114) and second spacers (136) are formed on sidewalls of first gate (108). The first and second spacers (126, 136) have different widths. The process may further include forming first source/drain regions (128) in the substrate laterally disposed on either side of the first spacers (126) and second source/drain regions (138) are formed on either side of second spacers (136). The different spacer widths may be achieved using masked first and second spacer etch processes (125, 135) having different degrees of isotropy.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: March 8, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Paul A. Grudowski, Jian Chen, Choh-Fei Yeap
  • Patent number: 6864128
    Abstract: A gate insulating film 4, two polysilicon films 5 and 7, and a silicon nitride film 9 are successively laminated on a semiconductor substrate 1 in this order. Each of the polysilicon films 5 and 7 contains phosphorus. The polysilicon film 5 has a region having a phosphorus concentration higher than that of the polysilicon film 7. Gate electrodes 10n, 10p, 40n, and 40p are formed on the gate insulating film 4 by partly etching the polysilicon films 5 and 7 and the silicon nitride film 9. In this case, the etching rate of the region of the polysilicon film 5, having a phosphorus concentration higher than that of the polysilicon film 7, is higher than that of the polysilicon film 7. Due to this difference, notches are formed at the bottom portions on side surfaces of respective gate electrodes 10p, 40n, and 40p.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: March 8, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Yukio Nishida, Kazunobu Ohta
  • Patent number: 6861327
    Abstract: A method for manufacturing a gate spacer for self-aligned contacts is provided. A gate stack is formed on a semiconductor substrate. A conformal dielectric layer is then formed over the gate stack. An etch-stop material layer, e.g., a photoresist layer, is formed over the conformal dielectric layer. Next, an upper portion of the etch stop material layer is removed to expose an upper portion of the conformal dielectric layer by techniques such as etching back. Subsequently, the exposed conformal dielectric layer is etched back using the remaining etch-stop material layer as an etch stopper. The remaining etch-stop material layer is removed and the etched-back conformal dielectric layer is again etched back to form a gate spacer.
    Type: Grant
    Filed: January 10, 2002
    Date of Patent: March 1, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: June Seo, Jong-Heui Sing
  • Patent number: 6855589
    Abstract: A gate electrode is formed over a partial surface area of a semiconductor substrate, with a gate insulating film being interposed therebetween. A first semiconductor film is formed over the semiconductor substrate on both sides of the gate electrode, the first semiconductor film being spaced apart from the gate electrode. An impurity diffusion region is formed in each of the first semiconductor films. An extension region is formed in the surface layer of the semiconductor substrate on both sides of the gate electrode. The extension region is doped with impurities of the same conductivity type as the impurity diffusion region and being connected to a corresponding one of the impurity diffusion regions. Sidewall spacers are formed on the sidewalls of the gate electrode, the sidewall spacers extending beyond edges of the first semiconductor films on the gate electrode side and covering partial surfaces of the first semiconductor films.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: February 15, 2005
    Assignee: Fujitsu Limited
    Inventor: Toshifumi Mori
  • Patent number: 6852581
    Abstract: According to embodiments of the present invention, methods of manufacturing a semiconductor device, and semiconductor devices manufactured thereby, are provided. A field region is formed that defines active regions in a semiconductor substrate. Spaced apart gates are formed on the active regions in the semiconductor substrate. The gates have sidewalls that extend away from the semiconductor substrate. First spacers are formed on the sidewalls of the gates. Second spacers are formed on the first spacers and opposite to the gates. Ion impurities are implanted into the active regions in the semiconductor substrate, adjacent to the gates, using the first and second spacers as an ion implantation mask. A portion of the second spacers is removed to widen the gaps between the gates. A dielectric layer is formed on the semiconductor substrate in the gaps between the gates.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: February 8, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-soo Chun, Dong-won Shin, Ki-nam Kim
  • Patent number: 6849489
    Abstract: A gate electrode is formed over but is insulated from a semiconductor body region for each of first and second transistors. Off-set spacers are formed along side-walls of the gate electrode of each of the first and second transistors. After forming the off-set spacers, a DDD implant is performed to form DDD source and DDD drain regions in the body region for the first transistor. After the DDD implant, main spacers are formed adjacent the off-set spacers of at least the first transistor. A LDD implant is performed to form LDD source and LDD drain regions for the second transistor. After forming the main spacers, a source/drain (S/D) implant is carried out to form a highly doped region within each of the DDD drain and DDD source regions and each of the LDD drain and LDD source regions.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: February 1, 2005
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Peter Rabkin, Hsingya Arthur Wang, Kai-Cheng Chou
  • Patent number: 6838777
    Abstract: Gate electrodes (3) are formed on a semiconductor substrate (1), each with a gate insulating film (2) interposed therebetween. A pair of offset spacers (4) are respectively formed on opposite side faces of each of the gate insulating film (2) and the gate electrodes (3). Diffusion layers (5) are formed in the semiconductor substrate (1) on opposite sides of a portion of the semiconductor substrate (1) immediately under each of the gate electrodes (3), by ion implantation.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: January 4, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Motoshige Igarashi
  • Patent number: 6838330
    Abstract: A method of forming a contact hole of a semiconductor device that is able to prevent excessive etching of an interlayer dielectric pattern includes forming a gate pattern including a first insulation layer pattern, a conductive layer pattern, a capping insulation layer pattern, and a second insulation layer pattern on a substrate; forming a spacer using an insulating material on a sidewall of the gate pattern; forming an interlayer dielectric on the substrate on which the gate pattern and the spacer are formed; forming a contact hole and an interlayer dielectric pattern for exposing the substrate by etching the interlayer dielectric; forming a liner spacer on a sidewall of the spacer and the interlayer dielectric pattern; and cleaning the resultant structure using a cleaning solution. The cleaning solution preferably includes includes ozone water and hydrogen fluoride (HF).
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: January 4, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bong-Ho Moon, Ju-Yun Cheol, Yong-Sun Ko, In-Seak Hwang
  • Patent number: 6828186
    Abstract: A method for forming a spacer layer adjoining a substantially vertical first sidewall of a topographic feature within a microelectronic product employs an anisotropic etching of a reentrant spacer material layer formed upon the topographic feature. The spacer layer is formed at least in part with a substantially vertical second sidewall laterally separated from the substantially vertical first sidewall. The method is useful for forming spacer layers within field effect transistor devices.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: December 7, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Chiang Liu, Chi-Hsin Lo, Chia-Shiang Tsai
  • Patent number: 6821836
    Abstract: A disposable spacer for use in a semiconductor device fabrication process is formed of a germanium-silicon alloy. The germanium-silicon alloy may include a first portion (x) of germanium and a second portion (1−x) of silicon, wherein x is greater than about 0.2.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: November 23, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6815280
    Abstract: A semiconductor device, comprises a first MOS transistor including a gate electrode having a gate width Le and a first gate post oxide film formed on the circumferential side wall of the gate electrode, and a second MOS transistor including a gate electrode having a gate width Li smaller than the gate width Le of the gate electrode of the first MOS transistor and a second gate post oxide film formed on a circumferential side wall of the gate electrode and having a portion differing in thickness from the first gate post oxide film.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: November 9, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hisato Oyamatsu
  • Patent number: 6815271
    Abstract: A semiconductor device having CMOS circuits formed on a glass substrate. The CMOS circuits are composed of TFTs. Lightly doped regions are formed only in the N-channel TFTs. When P-channel TFTs are formed, the conductivity type of the lightly doped regions is converted by a boron ion implant. Each CMOS circuit consists of an N-channel TFT having the lightly doped regions and a P-channel TFT having no lightly doped regions.
    Type: Grant
    Filed: May 1, 2003
    Date of Patent: November 9, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hongyong Zhang
  • Patent number: 6812085
    Abstract: A semiconductor device and a method for fabricating the same which improve characteristic of stand-by current of an SRAM cell is disclosed in the present invention.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: November 2, 2004
    Assignee: Hynix Semiconductor Inc
    Inventor: Sang Gi Lee
  • Patent number: 6806134
    Abstract: Devices, structures, and methods for enhancing devices using dual-doped polycrystalline silicon are discussed. One aspect of the present invention includes a p-type strip having a top, a bottom, two sides, and two ends; an n-type strip having a top, a bottom, two sides, and two ends; and a conductive inhibitor strip that adjoins a portion of one of the two sides of the p-type strip and a portion of one of the two sides of the n-type strip so as to inhibit cross-diffusion between the p-type strip and the n-type strip while electrical connection between n-type and p-type polycrystalline silicon is maintained.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: October 19, 2004
    Assignee: Micron Techonlogy, Inc.
    Inventors: Jigish D. Trivedi, Todd R. Abbott, Zhongze Wang
  • Publication number: 20040198006
    Abstract: A method of forming self-aligned contacts that includes providing at least one stacked-gate structure on a semiconductor substrate, forming a first dielectric layer on the stacked-gate structure and the semiconductor substrate, forming a second dielectric layer on the first dielectric layer, the second dielectric layer being etch selective relative to the first dielectric layer, etching the second dielectric layer to expose a portion of the first dielectric layer formed on a top surface and along at least a portion of upper sidewalls of the stacked-gate structure, removing the exposed portion of the first dielectric layer, and forming a third dielectric layer on the sidewalls of the stacked-gate structure.
    Type: Application
    Filed: April 1, 2003
    Publication date: October 7, 2004
    Applicant: Macronix International Co., Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 6800909
    Abstract: There are provided a gate electrode formed on a semiconductor substrate of one conductivity type via a gate insulating film, ion-implantation controlling films formed on both side surfaces of the gate electrode and having a space between the gate electrode and an upper surface of the semiconductor substrate, first and second impurity diffusion regions of opposite conductivity type formed in the semiconductor substrate on both sides of the gate electrode and serving as source/drain, a channel region of one conductivity type formed below the gate electrode between the first and second impurity diffusion regions of opposite conductivity type, and pocket regions of one conductivity type connected to end portions of the impurity diffusion regions of opposite conductivity type in the semiconductor substrate below the gate electrode and having an impurity concentration of one conductivity type higher than the channel region.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: October 5, 2004
    Assignee: Fujitsu Limited
    Inventors: Koichi Sugiyama, Yoshihiro Takao, Shinji Sugatani, Daisuke Matsunaga, Takayuki Wada, Tohru Fujita, Hikaru Kokura
  • Publication number: 20040188779
    Abstract: A method for forming a spacer layer adjoining a substantially vertical first sidewall of a topographic feature within a microelectronic product employs an anisotropic etching of a reentrant spacer material layer formed upon the topographic feature. The spacer layer is formed at least in part with a substantially vertical second sidewall laterally separated from the substantially vertical first sidewall. The method is useful for forming spacer layers within field effect transistor devices.
    Type: Application
    Filed: March 27, 2003
    Publication date: September 30, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Chiang Liu, Chi-Hsin Lo, Chia-Shiang Tsai
  • Publication number: 20040175881
    Abstract: Static pass transistor logic having transistors with multiple vertical gates are described. Multiple vertical gates are edge defined with only a single transistor being required for multiple logic inputs. Thus a minimal surface area is required for each logic input. The static pass transistor includes a transistor which has a horizontal depletion mode channel region between a single source and drain region. A number of vertical gates are located above different portions of the depletion mode channel region. A vertical gate is located above a first portion of the depletion mode channel region and is separated therefrom by a first insulator material. A vertical gate is located above a second portion of the channel region and is separated therefrom by a second insulator material. There is no source nor drain region associated with each input and the gates have sub-lithographic horizontal dimensions by virtue of being edge defined vertical gates.
    Type: Application
    Filed: March 1, 2004
    Publication date: September 9, 2004
    Applicant: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6777754
    Abstract: A semiconductor device a bleeder resistance circuit having conductors, an insulating film disposed on the conductors, and thin film resistors each overlying a respective one of the conductors with the insulating film disposed therebetween. Each of the thin film resistors contains p-type impurities and has a thickness in the range of 10 to 2000 angstroms. Each of the conductors is electrically connected to and has the same electric potential as a respective one of the thin film resistors.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: August 17, 2004
    Assignee: Seiko Instruments Inc.
    Inventor: Mika Shiiki
  • Patent number: 6773977
    Abstract: The present invention relates to a method of forming a diode (2) for integration with a semiconductor device comprising the steps of providing a layer (4) of semiconductor material, forming a dielectric layer (6) over the layer of semiconductor material, introducing a first conductivity type dopant into the dielectric layer (6), forming a semi-conductive layer (8) over the dielectric layer (6), introducing a second conductivity type dopant into a first region (12) of the semi-conductive layer and re-distributing the first conductivity type dopant from the dielectric layer (6) into the semi-conductive layer (8) so as to form a second region (18) of the first conductivity type dopant in the semi-conductive layer (8), the second region (18) being adjacent the first region (12) so as to provide a P/N junction of the diode (2).
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: August 10, 2004
    Assignees: Freescale Semiconductor, Inc., Semiconductor Components Industries, LLC
    Inventors: Jean-Michel Reynes, Ivana Deram, Evgueniy Stefanov
  • Patent number: 6770522
    Abstract: A semiconductor device and a manufacturing method thereof which is suited for forming both a transistor for a memory cell and a transistor for a high voltage circuit part on one semiconductor substrate, and moreover, has little deterioration of an electric characteristic in the structure that a sidewall insulating film in a shared contact plug part is removed is provided. An active layer is formed by performing an additional impurity injection on a part where a sidewall insulating film is removed in a forming portion of a shared contact plug. An insulating film is laminated in a high voltage circuit part and a sidewall insulating film of wide width is formed. According to this, a forming width of a sidewall insulating film can be made small in a MOS transistor for a memory cell part, and a forming width of a sidewall insulating film can be made large in a MOS transistor for a high voltage circuit part.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: August 3, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Yoshinori Okumura, Shuichi Ueno, Haruo Furuta
  • Patent number: 6770532
    Abstract: A method for fabricating a memory unit with T-shaped gate. A semiconductor substrate forming a dielectric layer, a first opening, and a second opening is provided in a CMOS process. A silicate glass spacer is formed on the sidewall of the first opening and is thermally oxidized to form a light doped area under the silicate glass spacer. The silicate glass spacer is removed. An insulating spacer is formed on the sidewall of the first opening. A first spacer is formed on a sidewall of the second opening. N-type conducting spacers are formed respectively on sidewalls of the insulating spacer and the first spacer. Gate dielectric layers are formed respectively in the first opening and the second opening. A P-type conducting layer fills with the first opening and the second opening, and a second spacer is formed on a sidewall of a conducting spacer of the second opening.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: August 3, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Ying-Cheng Chuang, Chung-Lin Huang, Chi-Hui Lin
  • Publication number: 20040147071
    Abstract: The present invention provides a manufacturing method of a semiconductor device, in which an oxide layer for regulating the ion-implantation is previously formed before the implantation of the impurities into a predetermined region of a P-lightly doped drain (LDD) to optionally regulate the implantation state of P type impurities into the corresponding predetermined region of P-LDD based on the oxide layer for regulating the ion-implantation so that the PMOS side predetermined channel length is elongated longer than the NMOS side predetermined channel length, thus maintaining the finished PMOS and NMOS side channel lengths equal irrespective of diffusion velocity of the impurities even if a substantial annealing process is performed and P type impurities are diffused faster than N type impurities due to their structural difference.
    Type: Application
    Filed: December 23, 2003
    Publication date: July 29, 2004
    Applicant: Dongbu Electronics Co., Ltd.
    Inventor: Hag Dong Kim
  • Patent number: 6767777
    Abstract: A method for manufacturing a transistor includes providing a transistor assembly having a semiconductor layer with a first surface, a dielectric layer disposed on the first surface, a gate electrode disposed on the dielectric layer, an insulation layer adjacent at least part of the gate electrode, and a nitride spacer layer adjacent at least part of the insulation layer. The method also includes depositing, on part of the first surface, a material that will react with the semiconductor layer to form silicide and removing the unreacted material. The method further includes etching the nitride spacer layer, depositing a pre-metal spacer layer adjacent at least part of the nitride spacer layer and at least part of the first surface, etch removing a portion of the pre-metal spacer layer to expose part of the silicided portion of the first surface, and forming a contact with the silicided portion of the first surface.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: July 27, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Keith A. Joyner, Mark S. Rodder
  • Patent number: 6762101
    Abstract: A double-gate field effect transistor (DGFET) is provided using a damascene-like replacement gate processing step to create sidewall source/drain regions, oxide spacers and gate structures inside a previously formed trench. The damascene-like replacement gate processing step allows for the fabrication of a tapered transistor body region having a thicker body under the contacts which reduces access resistance.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: July 13, 2004
    Assignee: International Business Machines Corporation
    Inventors: Kevin K. Chan, Erin C. Jones, Paul M. Solomon, Hon-Sum Phillip Wong
  • Publication number: 20040127007
    Abstract: A method of formation a gate in a semiconductor device includes forming a gate oxide layer and a sacrificial layer on a semiconductor substrate. The sacrificial layer is then selectively etched to form a sidewall opening. Next, a polycrystalline silicon layer is formed on an area of the gate oxide layer exposed through the sidewall opening and on the sacrificial layer. Anisotropic etching of the polycrystalline silicon layer is performed such that sidewall gates are formed by remaining portions of the polycrystalline silicon layer on sidewalls of the sidewall opening, a width of the sidewall gates corresponding to a desired width of a gate. The sacrificial layer is removed following etching of the polycrystalline silicon layer.
    Type: Application
    Filed: December 15, 2003
    Publication date: July 1, 2004
    Inventor: Young-Hun Seo
  • Patent number: 6756259
    Abstract: Semiconductor power device including a semiconductor layer of a first type of conductivity, wherein a body region of a second type of conductivity including source regions of the first type of conductivity is formed, a gate oxide layer superimposed to the semiconductor layer with an opening over the body region, polysilicon regions superimposed to the gate oxide layer, and regions of a first insulating material superimposed to the polysilicon regions. The device includes regions of a second insulating material situated on a side of both the polysilicon regions and the regions of a first insulating material and over zones of the gate oxide layer situated near the opening on the body region, oxide regions interposed between the polysilicon regions and the regions of a second insulating material, oxide spacers superimposed to the regions of a second insulating material.
    Type: Grant
    Filed: February 1, 2002
    Date of Patent: June 29, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Ferruccio Frisina, Giuseppe Ferla
  • Publication number: 20040110363
    Abstract: A method of defining composite insulator spacers on the sides of conductive gate structures, with reduced risk of semiconductor damage at end point of the composite insulator spacer definition procedure, has been developed. The method features initial deposition of a thin underlying, silicon rich, undoped silica glass (USG), layer, comprised with a refractive index greater than 1.55. After deposition of a TEOS silicon oxide layer a first phase of an anisotropic RIE procedure, using a CF4/CHF3 etch chemistry, is used to selectively define a silicon oxide spacer component, with the first phase of the etch procedure terminating on the underlying silicon rich, USG layer.
    Type: Application
    Filed: December 6, 2002
    Publication date: June 10, 2004
    Applicant: Taiwan Semiconductor Manufacturing Company
    Inventors: Hsiang-Fan Lee, Chi-Hsin Lo
  • Patent number: 6746909
    Abstract: A semiconductor device having a low channel resistance without degrading transistor characteristics even for the 0.1 &mgr;m generation or later, and also: a manufacturing method of the device. The method includes fabricating source/drain electrodes and a gate electrode without using selective metal growth methods. Further, after forming the gate electrode, a semiconductor film is temporarily formed selectively in source/drain regions. A dielectric film is next deposited on the substrate and then, the surface is etched by chemical/mechanical polish (CMP) techniques to the extent that the semiconductor film is exposed on the surface. The semiconductor film is then partly etched until its midway portion along the thickness is removed. Thereafter, a desired metal or silicide is deposited on the entire surface. Next, CMP etching is performed to form electrodes, while letting the electrodes reside on or over the source/drain semiconductor layers and a gate insulation layer.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: June 8, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akira Nishiyama
  • Patent number: 6746925
    Abstract: In a method of forming an integrated circuit device, sidewall oxides are formed by plasma oxidation on the patterned gate. This controls encroachment beneath a dielectric layer underlying the patterned gate. The patterned gate is oxidized using in-situ O2 plasma oxidation. The presence of the sidewall oxides minimizes encroachment under the gate edge.
    Type: Grant
    Filed: March 25, 2003
    Date of Patent: June 8, 2004
    Assignee: LSI Logic Corporation
    Inventors: Hong Lin, Shiqun Gu, Wai Lo, Jim Elmer
  • Patent number: 6746906
    Abstract: In one embodiment of the present invention, a method of forming semiconductor transistors includes: forming a gate electrode over but insulated from a semiconductor body region; forming off-set spacers along side-walls of the gate electrode; and after forming said off-set spacers, forming a source region and a drain region in the body region so that the extent of an overlap between the gate electrode and each of the source and drain regions is dependent on a thickness of the off-set spacers.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: June 8, 2004
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Peter Rabkin, Hsingya Arthur Wang, Kai-Cheng Chou
  • Publication number: 20040106250
    Abstract: A Co silicide layer having a low resistance and a small junction leakage current is formed on the surface of the gate electrode, source and drain of MOSFETs by silicidizing a Co film deposited on a main plane of a wafer by sputtering using a high purity Co target having a Co purity of at least 99.99% and Fe and Ni contents of not greater than 10 ppm, preferably having a Co purity of 99.999%.
    Type: Application
    Filed: November 26, 2003
    Publication date: June 3, 2004
    Inventors: Shinji Nishihara, Shuji Ikeda, Naotaka Hashimoto, Hiroshi Momiji, Hiromi Abe, Shinichi Fukada, Masayuki Suzuki
  • Patent number: 6743686
    Abstract: A process for making abrupt, e.g. <20 nm/decade, PN junctions and haloes in, e.g., CMOSFETs having gate lengths of, e.g. <50 nm, uses a mask, e.g., sidewall spacers, during ion implantation of gate, source, and drain regions. The mask is removed after source-drain activation by annealing and source and drain extension regions are then implanted. Then the extension regions are activated. Thereafter halo regions are implanted and activated preferably using spike annealing to prevent their diffusion. The process can also be used to make diodes, bipolar transistors, etc. The activation annealing steps can be combined into a single step near the end of the process.
    Type: Grant
    Filed: June 14, 2002
    Date of Patent: June 1, 2004
    Assignee: International Business Machines Corporation
    Inventors: Kam Leung Lee, Ying Zhang, Maheswaran Surendra, Edmund M. Sikorski