Utilizing Gate Sidewall Structure Patents (Class 438/230)
  • Publication number: 20070284670
    Abstract: A semiconductor device has a transistor of a first conductivity type formed on a semiconductor substrate and having a first gate insulating film and a first gate electrode and a transistor of a second conductivity type having a second gate insulating film and a second gate electrode. The first gate electrode is a metal gate electrode having a metal film and the second gate electrode is a fully-silicided gate electrode made of a silicide film.
    Type: Application
    Filed: March 8, 2007
    Publication date: December 13, 2007
    Inventors: Kazuhiko Yamamoto, Hiromasa Fujimoto, Takafumi Kotani
  • Patent number: 7306983
    Abstract: The present invention provides a semiconductor device having dual nitride liners, a silicide layer, and a protective layer beneath one of the nitride liners for preventing the etching of the silicide layer. A first aspect of the invention provides a method for use in the manufacture of a semiconductor device comprising the steps of applying a protective layer to a device, applying a first silicon nitride liner to the device, removing a portion of the first silicon nitride liner, removing a portion of the protective layer, and applying a second silicon nitride liner to the device.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: December 11, 2007
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Ying Li, Rajeev Malik, Shreesh Narasimha
  • Patent number: 7306995
    Abstract: An embodiment of the invention is a method of making a semiconductor structure 10 where the spacer oxide layer 90 is formed by a hydrogen free precursor CVD process. Another embodiment of the invention is a semiconductor structure 10 having a spacer oxide layer 90 with a hydrogen content of less than 1%.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: December 11, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Clinton L. Montgomery, Amitabh Jain
  • Patent number: 7282402
    Abstract: According to the embodiments to the present disclosure, the process of making a dual strained channel semiconductor device includes integrating strained Si and compressed SiGe with trench isolation for achieving a simultaneous NMOS and PMOS performance enhancement. As described herein, the integration of NMOS and PMOS can be implemented in several ways to achieve NMOS and PMOS channels compatible with shallow trench isolation.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: October 16, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mariam G. Sadaka, Alexander L. Barr, Dejan Jovanovic, Bich-Yen Nguyen, Voon-Yew Thean, Shawn G. Thomas, Ted R. White
  • Patent number: 7276408
    Abstract: A semiconductor device includes offset spacers that contact opposing side surfaces of a gate of a gate structure. The offset spacers can be formed by selectively depositing an oxide layer over the gate and the semiconductor substrate so that the opposing side surfaces of the gate e are substantially free of the oxide layer. Offset spacers can then be formed that contact the opposing side surfaces of the gate.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: October 2, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Yuanning Chen, Mark Visokay
  • Patent number: 7273777
    Abstract: A method for forming a semiconductor device structure, comprising the steps of independently forming source/drain surface metal silicide layers and a fully silicided metal gate in a polysilicon gate stack. Specifically, one or more sets of spacer structures are provided along sidewalls of the polysilicon gate stack after formation of the source/drain surface metal silicide layers and before formation of the silicided metal gate, in order to prevent formation of additional metal silicide structures in the source/drain regions during the gate salicidation process. The resulting semiconductor device structure includes a fully silicide metal gate that either comprises a different metal silicide material from that in the source/drain surface metal silicide layers, or has a thickness that is larger than that of the source/drain surface metal silicide layers. The source/drain regions of the semiconductor device structure are devoid of other metal silicide structures besides the surface metal silicide layers.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: September 25, 2007
    Assignee: International Business Machines Corporation
    Inventors: Glenn A. Biery, Ghavam Shahidi, Michelle L. Steen
  • Patent number: 7271049
    Abstract: A CMOS structure in which the gate-to-drain/source capacitance is reduced as well as various methods of fabricating such a structure are provided. In accordance with the present invention, it has been discovered that the gate-to-drain/source capacitance can be significantly reduced by forming a CMOS structure in which a low-k dielectric material is self-aligned with the gate conductor. A reduction in capacitance between the gate conductor and the contact via ranging from about 30% to greater than 40% has been seen with the inventive structures. Moreover, the total outer-fringe capacitance (gate to outer diffusion+gate to contact via) is reduced between 10-18%. The inventive CMOS structure includes at least one gate region including a gate conductor located a top a surface of a semiconductor substrate; and a low-k dielectric material that is self-aligned to the gate conductor.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: September 18, 2007
    Assignee: International Business Machines Corporation
    Inventors: Oleg Gluschenkov, Jack A. Mandelman, Michael P. Belyansky, Bruce B. Doris
  • Patent number: 7256084
    Abstract: An example method embodiment forms spacers that create tensile stress on the substrate on both the PFET and NFET regions. We form PFET and NFET gates and form tensile spacers on the PFET and NFET gates. We implant first ions into the tensile PFET spacers to form neutralized stress PFET spacers. The neutralized stress PFET spacers relieve the tensile stress created by the tensile stress spacers on the substrate. This improves device performance.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: August 14, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Khee Yong Lim, Wenhe Lin, Chung Woh Lai, Yong Meng Lee, Liang Choo Hsia, Young Way Teh, John Sudijono, Wee Leng Tan, Hui Peng Koh
  • Patent number: 7256081
    Abstract: A semiconductor device is provided with a stressed channel region, where the stresses film causing the stress in the stress channel region can extend partly or wholly under the gate structure of the semiconductor device. In some embodiments, a ring of stress film surround the channel region, and may apply stress from all sides of the channel. Consequently, the stress film better surrounds the channel region of the semiconductor device and can apply more stress in the channel region.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: August 14, 2007
    Assignee: International Business Machines Corporation
    Inventors: Haining S. Yang, Huilong Zhu
  • Patent number: 7250332
    Abstract: The present invention discloses a method for fabricating a semiconductor device. A substrate is provided. At least one first and second gate structure, having sidewalls, are included on a surface of the substrate. A first ion implantation process is performed to form a shallow-junction doping region of a first conductive type in the substrate next to each of the sidewalls of the first gate structure, followed by the formation of offset spacers on each of the sidewalls of the first and second gate structure. A second ion implantation process is performed to form a shallow-junction doping region of a second conductive type in the substrate next to the offset spacer on each of the sidewalls of the second gate structure.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: July 31, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Koi Lai, Tung-Hsing Lee, Tai-Yuan Lee, Yu-Lung Chin, Yi-Chia Lee, Shyh-Fann Ting
  • Patent number: 7229870
    Abstract: Methods of fabricating CMOS transistors are disclosed. A disclosed method includes forming first and second gate patterns on the first and second wells, respectively; forming a sidewall insulating layer over the substrate; forming first lightly doped regions in the first well by NMOS LDD ion implantation; forming a first gate spacer insulating layer over the substrate; forming second lightly doped regions in the second well by PMOS LDD ion implantation; sequentially stacking a spacer insulating layer and a second gate spacer insulating layer on the first gate spacer insulating layer; forming first and second spacers on sidewalls of the first and second gate patterns; and forming first and second heavily doped regions in the first and second wells by NMOS and PMOS source/drain ion implantations, respectively.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: June 12, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Byeong Ryeol Lee
  • Patent number: 7223650
    Abstract: Embodiments of the invention include a circuit with a transistor having a self-aligned gate. Insulating isolation structures may be formed, self-aligned to diffusions. The gate may then be formed self-aligned to the insulating isolation structures.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventor: Peter Chang
  • Patent number: 7220637
    Abstract: A method of manufacturing a semiconductor device with NMOS and PMOS transistors is provided. The semiconductor device can lessen a short channel effect, can reduce gate-drain current leakage, and can reduce parasitic capacitance due to gate overlaps, thereby inhibiting a reduction in the operating speed of circuits. An N-type impurity such as arsenic is ion implanted to a relatively low concentration in the surface of a silicon substrate (1) in a low-voltage NMOS region (LNR) thereby to form extension layers (61). Then, a silicon oxide film (OX2) is formed to cover the whole surface of the silicon substrate (1). The silicon oxide film (OX2) on the side surfaces of gate electrodes (51–54) is used as an offset sidewall. Then, boron is ion implanted to a relatively low concentration in the surface of the silicon substrate (1) in a low-voltage PMOS region (LPR) thereby to form P-type impurity layers (621) later to be extension layers (62).
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: May 22, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Kazunobu Ota, Hirokazu Sayama, Hidekazu Oda
  • Patent number: 7208361
    Abstract: A method for making a semiconductor device is described. That method comprises forming a polysilicon layer on a dielectric layer, which is formed on a substrate. The polysilicon layer is etched to generate a patterned polysilicon layer with an upper surface that is wider than its lower surface. The method may be applied, when using a replacement gate process to make transistors that have metal gate electrodes.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: April 24, 2007
    Assignee: Intel Corporation
    Inventors: Uday Shah, Chris E. Barns, Mark L. Doczy, Justin K. Brask, Jack Kavalieros, Matthew V. Metz, Robert S. Chau
  • Patent number: 7195969
    Abstract: A strained channel NMOS and PMOS device pair including fully silicided gate electrodes and method for forming the same, the method including providing a semiconductor substrate including NMOS and PMOS device regions including respective gate structures including polysilicon gate electrodes; forming recessed regions on either side of a channel region including at least one of the NMOS and PMOS device regions; backfilling portions of the recessed regions with a semiconducting silicon alloy to exert a strain on the channel region; forming offset spacers on either side of the gate structures; thinning the polysilicon gate electrodes to a silicidation thickness to allow full metal silicidation through the silicidation thickness; ion implanting the polysilicon gate electrodes to adjust a work function; and, forming a metal silicide through the silicidation thickness to form metal silicide gate electrodes.
    Type: Grant
    Filed: December 31, 2004
    Date of Patent: March 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Bor-Wen Chan, Yuan-Hung Chiu, Han-Jan Tao
  • Patent number: 7192881
    Abstract: By heat treating a silicon dioxide liner prior to patterning a silicon nitride spacer layer, the etch selectivity of the silicon dioxide with respect to the silicon nitride is increased, thereby reducing or eliminating the problem of pitting through the silicon dioxide layer. This allows further scaling of the devices, wherein an extremely thin silicon dioxide liner is required to obtain an accurate lateral patterning of the dopant profile in the drain and source regions.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: March 20, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thorsten Kammler, Karsten Wieczorek, Christoph Schwan
  • Patent number: 7179715
    Abstract: The formation of gate spacers on the sides of a gate structure on a semiconductor substrate is provided. In one embodiment, a gate structure is formed on a gate insulator layer of the semiconductor substrate. A liner layer is formed over the exposed surfaces of the substrate, the gate insulator layer, and the gate structure. A layer of gate spacer material is formed over the liner layer. Thereafter, gate spacers are formed from the layer of gate spacer material. A protection layer is formed over portions of the liner layer, gate structure, and the gate spacers. The protection layer is etched back. A first wet etch procedure is performed to remove exposed portions of the liner layer. The protection layer is removed and a second wet etch procedure is performed to remove substantially a top portion and a bottom portion of the liner layer.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: February 20, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ju-Chien Chiang, Shu-Huei Sun, Li-Te S. Lin
  • Patent number: 7157318
    Abstract: A method of fabricating an SRAM device is provided, by which a junction node area is stably secured in a 1T type SRAM device. The method includes forming first and second conductor patterns on a cell area of a semiconductor substrate and a third conductor pattern on a periphery area of the semiconductor substrate, stacking first to third insulating layers over the substrate, forming a spacer on a sidewall of the third conductor pattern in the exposed periphery area, removing the third insulating layer, and forming first and second spacers on sidewalls of the first and second conductor patterns.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: January 2, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Tae Woo Kim
  • Patent number: 7148158
    Abstract: A semiconductor device includes a semiconductor device comprising a semiconductor substrate, source/drain regions formed in the semiconductor substrate, a gate insulation film formed on the semiconductor substrate, a gate electrode formed on the gate insulation film between the source/drain regions, and a gate sidewall spacer formed on side surfaces of the gate electrode, wherein the gate sidewall spacer is composed of silicon oxide containing 0.1–30 atomic % of chlorine.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: December 12, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshio Ozawa, Masayuki Tanaka, Kiyotaka Miyano, Shigehiko Saida
  • Patent number: 7148143
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and a method for manufacturing an integrated circuit. The semiconductor device (100), among other possible elements, includes a silicided gate electrode (150) located over a substrate (110), the silicided gate electrode (150) having gate sidewall spacers (160) located on sidewalls thereof. The semiconductor device (100) further includes source/drain regions (170) located in the substrate (110) proximate the silicided gate electrode (150), and silicided source/drain regions (180) located in the source/drain regions (170) and at least partially under the gate sidewall spacers (160).
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: December 12, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Haowen Bu, Jiong-Ping Lu, Shaofeng Yu, Ping Jiang, Clint Montgomery
  • Patent number: 7144767
    Abstract: A method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor by covering the p-type field effect transistor with a mask, and oxidizing a portion of a gate polysilicon of the n-type field effect transistor, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: December 5, 2006
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Omer H. Dokumaci, Oleg G. Gluschenkov
  • Patent number: 7122435
    Abstract: A method (100) of forming a transistor includes forming a gate structure (106, 108) over a semiconductor body and forming recesses (112) substantially aligned to the gate structure in the semiconductor body. Amorphous silicon regions are then formed (114) in the recesses. The amorphous silicon regions are re-crystallized. Sidewall spacers are formed (118) over lateral edges of the gate structure. The method continues by implanting source and drain regions in the semiconductor body (120) after forming the sidewall spacers. The re-crystallized silicon regions formed in the recesses reside close to the transistor channel and serve to facilitate improved carrier mobility in NMOS type transistor devices.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: October 17, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: PR Chidambaram, Haowen Bu
  • Patent number: 7118976
    Abstract: Methods of fabricating MOSFETs in semiconductor/r devices are disclosed. One example method may include forming an isolation layer on a semiconductor substrate and forming a capping layer thereon, forming an epitaxial active region which is not covered with the isolation layer on said semiconductor substrate by using selectively epitaxial growth, and forming a gate dielectric layer and a gate electrode on said epitaxial active region, sequentially. The example method may also include forming a source/drain plug spaced apart from the both sides of said gate electrode in said epitaxial active region, forming a source/drain into said epitaxial active region on which said source/drain plug is formed, forming an interlayer dielectric layer on the entire surface of the resultant structure after the source/drain is formed; and forming contacts in said interlayer dielectric layer, wherein said contacts are connected to said gate electrode and said source/drain plug, respectively.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: October 10, 2006
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Cheolsoo Park
  • Patent number: 7101742
    Abstract: A transistor includes a gate dielectric overlying a channel region. A source region and a drain region are located on opposing sides of the channel region. The channel region is formed from a first semiconductor material and the source and drain regions are formed from a second semiconductor material. A gate electrode overlies the gate dielectric. A pair of spacers is formed on sidewalls of the gate electrode. Each of the spacers includes a void adjacent the channel region. A high-stress film can overlie the gate electrode and spacers.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: September 5, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Yee-Chia Yeo, Wen-Chin Lee, Chenming Hu
  • Patent number: 7098099
    Abstract: The present invention provides, in one embodiment, a method of fabricating a semiconductor device (100). In one embodiment, the method includes growing an oxide layer 120 from a substrate 104, 106 over a first dopant region 122 and a second dopant region 128, implanting a first dopant through the oxide layer 120, into the substrate 104 in the first dopant region 122, and adjacent a gate structure 114, and substantially removing the oxide layer 120 from the substrate within the second dopant region 128. Subsequent to the removal of the oxide layer 120 in the second dopant region 128, a second dopant that is opposite in type to the first dopant is implanted into the substrate 106 and within the second dopant region 128 and adjacent a gate structure 114.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: August 29, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Hornung, Jong Yoon, Deborah J. Riley, Amitava Chatterjee
  • Patent number: 7094636
    Abstract: A method of forming a conductive line includes forming conductive material received over a semiconductor substrate into a line having opposing sidewalls. Insulative material is deposited over the line, and is planarized. An insulating spacer forming layer is deposited over the line and the planarized insulative material. The spacer forming layer is anisotropically etched form a pair of insulative spacers over the opposing line sidewalls with the insulative material being received between at least one of the sidewalls and one insulative spacer formed thereover. The insulative material as so received has a maximum lateral thickness which is greater than a maximum lateral thickness of the one sidewall spacer.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: August 22, 2006
    Assignee: Micron Technology, Inc.
    Inventor: H. Montgomery Manning
  • Patent number: 7091098
    Abstract: A semiconductor device including a gate stack located over a substrate and a spacer located over the substrate and adjacent the gate stack. The spacer includes a plurality of layers, wherein at least one of the plurality of layers is a batch layer and at least one of the plurality of layers is a non-batch layer.
    Type: Grant
    Filed: April 7, 2004
    Date of Patent: August 15, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen Ming Chen, Lin Jun Wu
  • Patent number: 7091081
    Abstract: A method is provided for patterning a semiconductor region, which can be heavily doped. A patterned mask is provided above the semiconductor region. A portion of the semiconductor region exposed by the patterned mask is etched in an environment including a polymerizing fluorocarbon, e.g., a chlorine-free fluorocarbon having a high ratio of carbon to fluorine atoms, and at least one non-polymerizing substance selected from the group consisting of non-polymerizing fluorocarbons, e.g. those having a low ratio of carbon to fluorine atoms, and hydrogenated fluorocarbons. The method preferably passivates the sidewalls of the patterned semiconductor region, such that a lower region of semiconductor material below the patterned region can be directionally etched without eroding the thus passivated patterned region.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: August 15, 2006
    Assignee: International Business Machines Corporation
    Inventors: Sadanand V. Deshpande, Rajiv M. Ranade, George K. Worth
  • Patent number: 7081416
    Abstract: The invention includes methods of forming field effect transistor gates. In one implementation, a series of layers is formed proximate a semiconductive material channel region. The layers comprise a gate dielectric layer and a conductive metal-comprising layer having an ion implanted polysilicon layer received therebetween. Patterned masking material is formed over the series of layers. Using the patterned masking material as a mask, etching is conducted through the conductive metal-comprising layer and only partially into the ion implanted polysilicon layer. After such etching, the ion implanted polysilicon is annealed effective to electrically activate implanted impurity atoms received therein. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: July 25, 2006
    Assignee: Micron Technology, Inc.
    Inventors: John K. Zahurak, David K. Hwang
  • Patent number: 7078287
    Abstract: A gate electrode is formed on a silicon substrate. First spacers are formed on side surfaces of the gate electrode. With the gate electrode and the first spacers as masks, the surface of the silicon substrate is chipped off to form steplike portions at positions adjacent to base portions of the first spacers. Second spacers are formed at the steplike portions. Silicides are formed on the silicon substrate with the first spacers and the second spacers as masks.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: July 18, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiroshi Nagatomo
  • Patent number: 7067366
    Abstract: A method is provided for defining spacings between the gates of field effect transistors (FETs) of an integrated circuit and the source and drain regions thereof, the spacings differing in width between a first FET and a second FET. The method includes forming gate stacks of the integrated circuit over a substrate, and forming first spacers on sidewalls of the gate stacks. Second spacers are then formed over the first spacers. Thereafter, source and drain regions of the first FET are formed in alignment with the second spacers of a first gate stack of the gate stacks. The second spacers are then removed from the first spacers of the gate stacks. Thereafter, the first spacers of a second gate stack are anisotropically etched in a substantially vertical direction to remove horizontally extending portions of the first spacers, and source and drain regions of the second FET are formed in alignment with portions of the first spacers of the first gate stack which remain after the etching.
    Type: Grant
    Filed: January 7, 2004
    Date of Patent: June 27, 2006
    Assignee: International Business Machines Corporation
    Inventor: Rainer E. Gehres
  • Patent number: 7064026
    Abstract: Semiconductor devices and methods of fabrication. A device includes a semiconductor substrate, a gate electrode insulated from the semiconductor substrate by a gate insulation layer, LDD-type source/drain regions formed at both sides of the gate electrode, an interlayer insulation layer formed over the gate electrode and the substrate, and a shared contact piercing the interlayer insulation layer and contacting the gate electrode and one of the LDD-type source/drain regions including at least a part of a lightly doped drain region. Multiple-layer spacers are formed on both sides of the gate structure and used as a mask in forming the LDD-type regions. At least one layer of the spacer is removed in the contact opening to widen the opening to receive a contact plug.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: June 20, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Do-Hyung Kim, Jung-In Hong
  • Patent number: 7064085
    Abstract: A feed-forward method and apparatus for controlling spacer width measures spacer width during processing then further processes the spacers in a spacer width adjustment operation to achieve a desired final spacer width. Silicon nitride spacers may be measured after plasma etching and the measured spacer width is automatically compared to the final desired spacer width and a time for further processing is calculated based on a correlation between processing time and spacer width loss. Using computer interface manufacturing, the measured spacer width data is provided to a computer that performs the calculation and provides the further processing time or a recipe to the tool used for the spacer width adjustment operation. The spacer width adjustment operation may be wet processing in an SPM solution that oxidizes the spacers and an HF clean operation may be used to remove the oxidized portion and yield spacer widths within acceptable specification limits.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: June 20, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yih-Song Chiu, Wen-Ting Tsai, Jao-Sheng Huang, Chen-Hsiang Leu
  • Patent number: 7057237
    Abstract: A method is described for forming three or more spacer widths in transistor regions on a substrate. In one embodiment, different silicon nitride thicknesses are formed above gate electrodes followed by nitride etching to form spacers. Optionally, different gate electrode thicknesses may be fabricated and a conformal oxide layer is deposited which is subsequently etched to form different oxide spacer widths. A third embodiment involves a combination of different gate electrode thickness and different nitride thicknesses. A fourth embodiment involves selectively thinning an oxide layer over certain gate electrodes before etching to form spacers. Therefore, spacer widths can be independently optimized for different transistor regions on a substrate to enable better drive current in transistors with narrow spacers and improved SCE control in neighboring transistors with wider spacers. Better drive current is also obtained in transistors with shorter polysilicon thickness.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: June 6, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Howard Chih Hao Wang, Chenming Hu, Chun-Chieh Lin
  • Patent number: 7045413
    Abstract: Methods of manufacturing a semiconductor integrated circuit using selective disposable spacer technology and semiconductor integrated circuits manufactured thereby: The method includes forming a plurality of gate patterns on a semiconductor substrate. Gap regions between the gate patterns include first spaces having a first width and second spaces having a second width greater than the first width. Spacers are formed on sidewalls of the second spaces, and spacer layer patterns filling the first spaces are also formed together with the spacers. The spacers are selectively removed to expose the sidewalls of the first spaces. As a result, the semiconductor integrated circuit includes wide spaces enlarged by the removal of the spacers and narrow and deep spaces filled with the spacer layer patterns.
    Type: Grant
    Filed: February 5, 2004
    Date of Patent: May 16, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Eun Lee, Yun-Heub Song
  • Patent number: 7041549
    Abstract: In a method for manufacturing a semiconductor device, a gate insulating film and a gate electrode are first formed on a substrate. Next, Ge ions, Si ions, or the like are implanted to make the surface of the substrate amorphous, using the gate electrode as a mask. Thereafter, impurities such as B ions or the like, for forming a doped region, are implanted into the amorphous area of the substrate, using the gate electrode as a mask. Furthermore, the doped region is irradiated with visible light for a short period of time.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: May 9, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Fumio Ootsuka
  • Patent number: 7041548
    Abstract: A method for forming a gate stack which minimizes or eliminates damage to the gate dielectric layer and/or silicon substrate during the gate stack formation by the reduction of the temperature during formation. The temperature reduction prevents the formation of silicon clusters within the metallic silicide film in the gate stack which has been found to cause damage during the gate etch step. The present invention also includes methods for dispersing silicon clusters prior to the gate etch step.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: May 9, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Pai-Hung Pan, Louie Liu, Ravi Iyer
  • Patent number: 7037774
    Abstract: A CMOS structure and a process for forming CMOS devices are disclosed in which gate film stacks are formed over a semiconductor substrate. A barrier layer and a first dielectric film are formed such that they extend over the gate film stacks. Metal lines are formed over the pre-metal dielectric film and spacers are formed that extend on opposite sides of the metal lines. A second dielectric film is formed that extends over the metal lines. A masking structure is formed that defines a contact opening. Selective etch processes are performed to form a self-aligned contact opening, with the adjacent metal lines and spacers aligning the self-aligned contact opening between adjacent gate film stacks. A metal layer is then deposited and planarized to form a self-aligned contact. The masking structure can also define additional contact openings, which are simultaneously etched and filled with metal to form borderless, strapped and shared contacts.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: May 2, 2006
    Assignee: Integrated Device Technology, Inc.
    Inventor: Tsengyou Syau
  • Patent number: 7033895
    Abstract: In a metal-oxide semiconductor (MOS) transistor with an elevated source/drain structure and in a method of fabricating the MOS transistor with the elevated source/drain structure using a selective epitaxy growth (SEG) process, a source/drain extension junction is formed after an epi-layer is formed, thereby preventing degradation of the source/drain junction region. In addition, the source/drain extension junction is partially overlapped by a lower portion of the gate layer, since two gate spacers are formed and two elevated source/drain layers are formed in accordance with the SEG process. This mitigates the short channel effect and reduces sheet resistance in the source/drain layers and the gate layer.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: April 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-hwan Lee, Moon-han Park, Hwa-sung Rhee, Ho Lee, Jae-yoon Yoo
  • Patent number: 7029967
    Abstract: A method for forming metal silicide regions in source and drain regions (160, 170) is described. Prior to the thermal annealing of the source and drain regions (160, 170), germanium is implanted into a semiconductor substrate adjacent to sidewall structures (90, 95) formed adjacent gate structures (60, 70). The position of the implanted germanium species in the semiconductor substrate will overlap the source and drain regions (160, 170). Following thermal annealing of the source and drain regions (160, 170), the implanted germanium prevents the formation of metal silicide spikes.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: April 18, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Song Zhao, Sue E. Crank, Amitava Chatterjee, Kaiping Liu, Jiong-Ping Lu, Donald S. Miles, Duofeng Yue, Lance S. Robertson
  • Patent number: 7026202
    Abstract: A field effect transistor has an inverse-T gate conductor having a thicker center portion and thinner wings. The wings may be of a different material different than the center portion. In addition, gate dielectric may be thicker along edges than in the center. Doping can also be different under the wings than along the center portion or beyond the gate. Regions under the wings may be doped differently than the gate conductor. With a substantially vertical implant, a region of the channel overlapped by an edge of the gate is implanted without implanting a center portion of the channel, and this region is blocked from receiving at least a portion of the received by thick portions of the gate electrode.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: April 11, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jack A. Mandelman, Carl J. Radens, William R. Tonti
  • Patent number: 7022567
    Abstract: A method of fabricating self-aligned contact structures comprising providing a semiconductor substrate having at least two conductive structures thereon. The conductive structures are positioned beside the desired self-aligned contact structures having a plurality of masking structures thereon. Each masking structure has a top surface and a vertical surface. A dielectric layer is formed over the semiconductor substrate. A portion of the dielectric layer is removed by etching to expose the top surface and the vertical surface of each masking structure. A plurality of spacers is formed on the exposed vertical surface. While subsequently forming the self-aligned contact structures between two conductive structures, the peripheral of the nitride spacers has the low parasitic capacitance.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: April 4, 2006
    Assignee: Grace Semiconductor Manufacturing Corporation
    Inventor: Yun Jun Huh
  • Patent number: 7018888
    Abstract: The present invention provides a method for manufacturing a semiconductor device and method for manufacturing an integrated circuit including the semiconductor device. The method for manufacturing a semiconductor device (100), among other steps, includes forming a gate structure (130) over a substrate (110), the gate structure (130) having sidewall spacers (210 or 410) on opposing sidewalls thereof and placing source/drain implants (310, 510) into the substrate (110) proximate the gate structure (130). The method further includes removing at least a portion of the sidewall spacers (210 or 410) and annealing the source/drain implants (310, 510) to form source/drain regions (710) after removing the at least a portion of the sidewall spacers (210 or 410).
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: March 28, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Goodlin, Amitava Chatterjee, Shirin Siddiqui, Jong S. Yoon
  • Patent number: 7012014
    Abstract: A gate structure and method for forming the same the method including providing a silicon substrate including one of N and P-well doped regions and an overlying the CVD silicon oxide layer; forming an opening in the CVD silicon oxide layer to include a recessed area extending into a thickness portion of the silicon substrate; thermally growing a gate oxide over exposed silicon substrate portions of the recessed area; backfilling the opening with polysilicon; planarizing the polysilicon to the opening level to reveal the silicon oxide layer; and, selectively removing the silicon oxide layer to form a recessed gate structure.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: March 14, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Da-Wen Lin, Yi-Ming Sheu, Ying-Keung Leung
  • Patent number: 7012000
    Abstract: Exemplary embodiments of the invention provide pixel circuits having transistors with silicide on top of their gate stacks. In the exemplary embodiments, silicide forming material does not contaminate other components such as the photoconversion devices of an imager integrated circuit (IC). The photoconversion devices are blocked during silicide formation and are therefore not contaminated with silicide or metallic components. In other exemplary embodiments, each pixel of an imager also includes an optional in-pixel capacitor that has stabilized capacitance versus voltage characteristics due to its metal-dielectric-polysilicon structure, where the metal is a metal silicide over a conductive silicon layer.
    Type: Grant
    Filed: August 4, 2004
    Date of Patent: March 14, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Sungkwon C. Hong
  • Patent number: 7001817
    Abstract: A method for fabricating a semiconductor device, including forming a gate insulating film and a gate electrode film on a semiconductor substrate, and patterning the gate electrode film to form a gate electrode. A portion of the gate insulating film is removed to form an undercut region beneath the gate electrode. A buffer silicon film is formed over an entire surface of the resultant substrate to cover the gate electrode and to fill the undercut region. The buffer silicon film is selectively oxidized to form a buffer silicon oxide film.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: February 21, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Won Yeo, Jeong-Sic Jeon, Chang-Jin Kang, Chang-Won Lee
  • Patent number: 7001837
    Abstract: An exemplary embodiment relates to a method for forming a metal oxide semiconductor field effect transistor (MOSFET). The method includes providing a substrate having a gate formed above the substrate and performing at least one of the following depositing steps: depositing a spacer layer and forming a spacer around a gate and gate insulator located above a layer of silicon above the substrate; depositing an etch stop layer above the spacer, the gate, and the layer of silicon; and depositing a dielectric layer above the etch stop layer. At least one of the depositing a spacer layer, depositing an etch stop layer, and depositing a dielectric layer comprises high compression deposition which increases in tensile strain in the layer of silicon.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: February 21, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh V. Ngo, Paul R. Besser, Ming Ren Lin, Haihong Wang
  • Patent number: 6995065
    Abstract: A method for doping a polysilicon gate conductor, without implanting the substrate in a manner that would effect source/drain formation is provided. The inventive method comprises forming at least one polysilicon gate region atop a substrate; forming oxide seed spacers abutting the polysilicon gate; forming source/drain oxide spacers selectively deposited on the oxide seed spacers by liquid phase deposition, and implanting at least one polysilicon gate region, wherein the source/drain oxide spacers protect an underlying portion of the substrate. Multiple gate regions may be processed on a single substrate using conventional patterning. A block-mask provided by patterned photoresist can be used prior to implantation to pre-select the substrate area for gate conductor doping with one dopant type.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: February 7, 2006
    Assignee: International Business Machines Corporation
    Inventors: Anthony I. Chou, Toshiharu Furukawa, Steven J. Holmes
  • Patent number: 6991979
    Abstract: A method for forming a CMOS device in a manner so as to avoid dielectric layer undercut during a pre-silicide cleaning step is described. During formation of CMOS device comprising a gate stack on a semiconductor substrate surface, the patterned gate stack including gate dielectric below a conductor with vertical sidewalls, a dielectric layer is formed thereover and over the substrate surfaces. Respective nitride spacer elements overlying the dielectric layer are formed at each vertical sidewall. The dielectric layer on the substrate surface is removed using an etch process such that a portion of the dielectric layer underlying each spacer remains. Then, a nitride layer is deposited over the entire sample (the gate stack, the spacer elements at each gate sidewall, and substrate surfaces) and subsequently removed by an etch process such that only a portion of said nitride film (the “plug”) remains.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: January 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: Atul C. Ajmera, Andres Bryant, Percy V. Gilbert, Michael A Gribelyuk, Edward P. Maciejewski, Renee T. Mo, Shreesh Narasimha
  • Patent number: 6982196
    Abstract: A structure and method are provided in which a stress present in a film is reduced in magnitude by oxidizing the film through atomic oxygen supplied to a surface of the film. In an embodiment, a mask is used to selectively block portions of the film so that the stress is relaxed only in areas exposed to the oxidation process. A structure and method are further provided in which a film having a stress is formed over source and drain regions of an NFET and a PFET. The stress present in the film over the source and drain regions of either the NFET or the PFET is then relaxed by oxidizing the film through exposure to atomic oxygen to provide enhanced mobility in at least one of the NFET or the PFET while maintaining desirable mobility in the other of the NFET and PFET.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: January 3, 2006
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Diane C. Boyd, Bruce B. Doris, Oleg Gluschenkov