Utilizing Gate Sidewall Structure Patents (Class 438/230)
  • Patent number: 7569464
    Abstract: The present invention provides a method for manufacturing a semiconductor device, which includes forming a gate structure over a substrate, and forming a stack of layers on the substrate and at least partially along a sidewall of the gate structure. In this embodiment, the stack of layers includes an initial layer located over the substrate, a buffer layer located over the initial layer and an offset layer located over the buffer layer. This embodiment of the method further includes removing horizontal segments of the offset layer and the buffer layer using a dry etch and a wet clean, wherein removing includes choosing at least one of an initial thickness of the buffer layer, a period of time for the dry etch or a period of time for the wet clean such that horizontal segments of the initial layer are exposed and substantially unaffected after the dry etch and wet clean.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: August 4, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Karen H. R. Kirmse, Yuanning Chen, Jarvis B. Jacobs, Deborah J. Riley
  • Publication number: 20090179278
    Abstract: In a p-type MOS transistor, a gate electrode is partially removed by a predetermined wet etching, so that an upper portion of the gate electrode is formed to be lower than an upper portion of a sidewall insulation film. As a result of such a constitution, in spite of formation of a tensile stress (TSEL) film leading to deterioration of characteristics of a p-type MOS transistor by nature, stresses applied from the TESL film to the gate electrode and the sidewall insulation film are dispersed as indicated by broken arrows in the drawing, and consequently, a compressive stress is applied to a channel region, so that a compressive strain is introduced. As stated above, in the p-type MOS transistor, in spite of formation of the TESL film, in reality, a strain to improve characteristics of the p-type MOS transistor is given to the channel region.
    Type: Application
    Filed: March 25, 2009
    Publication date: July 16, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Masashi SHIMA
  • Patent number: 7560331
    Abstract: A gate is silicided through its sides while limiting silicidation through the top of the gate. A blocking layer may be formed over the gate layer, and the sidewalls of the gate layer are exposed. A layer of metal is formed on the sidewalls of the gate and thermally treated to silicide the gate layer. The sidewalls of the gate maybe exposed through an etching process in which a silicide layer formed over the blocking layer is used as an etch mask.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: July 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Su Kim, Jong-Ho Yun, Sang-Woo Lee, Seok-Woo Jung, Eun-Ji Jung
  • Publication number: 20090166764
    Abstract: A transistor and fabricating method thereof includes sequentially forming a gate oxide layer and a poly gate over an active area of a semiconductor substrate, forming a drift region in the active area adjacent to the poly gate, and then forming a source/drain by simultaneously implanting impurity ions of various types into the drift region at a lower depth profile than that of the drift region.
    Type: Application
    Filed: December 28, 2008
    Publication date: July 2, 2009
    Inventor: Mun-Young Lee
  • Patent number: 7544556
    Abstract: A process for forming CMOS devices is disclosed in which disposable spacers are used to obtain a structure having improved gap-fill characteristics. First, gate film stacks are formed on the substrate. A shallow implant process is performed so as to form shallow source/drain implant regions. A layer of oxide and a layer of silicon nitride are deposited and etched to form a first set of spacers that extend on opposite sides of the gate film stacks. A second implant is performed so as to form intermediate source/drain implant regions. A set of disposable spacers are then formed that extend on opposite sides of each of the gate film stacks. A third implant process is performed so as to form deep source/drain implant regions. The disposable spacers are then removed, providing more space for the subsequently-formed contact to land.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: June 9, 2009
    Assignee: Integrated Device Technology, Inc.
    Inventors: Ken Mui, Aaron Marmorstein, Eric Lee
  • Publication number: 20090140292
    Abstract: A method of forming an integrated circuit structure comprising the steps of forming a first and second device region on a surface of a wafer, forming a spacer of a first width on a sidewall of a first gate stack in the first device region, forming a spacer of a second width on a sidewall of a second gate stack in the second device region, with the first width being different from the second width.
    Type: Application
    Filed: November 29, 2007
    Publication date: June 4, 2009
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD.
    Inventors: Jinping LIU, Hai CONG, Binbin ZHOU, Alex KH SEE, Mei Sheng ZHOU, Liang Choo HSIA
  • Patent number: 7541239
    Abstract: A method of selectively forming a spacer on a first class of transistors and devices formed by such methods. The method can include depositing a conformal first deposition layer on a substrate with different classes of transistors situated thereon, depositing a blocking layer to at least one class of transistors, dry etching the first deposition layer, removing the blocking layer, depositing a conformal second deposition layer on the substrate, dry etching the second deposition layer and wet etching the remaining first deposition layer. Devices may include transistors of a first class with larger spacers compared to spacers of transistors of a second class.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: June 2, 2009
    Assignee: Intel Corporation
    Inventors: Giuseppe Curello, Ian R. Post, Chia-Hong Jan, Mark Bohr
  • Publication number: 20090134470
    Abstract: The present invention relates to a semiconductor device that comprises at least one field effect transistor (FET) containing a source region, a drain region, a channel region, a gate dielectric layer, a gate electrode, and one or more gate sidewall spacers. The gate electrode of such an FET contains an intrinsically stressed gate metal silicide layer, which is laterally confined by one or more gate sidewall spacers and is arranged and constructed for creating stress in the channel region of the FET. Preferably, the semiconductor device comprises at least one p-channel FET, and more preferably, the p-channel FET has a gate electrode with an intrinsically stressed gate metal silicide layer that is laterally confined by one or more gate sidewall spacers and is arranged and constructed for creating compressive stress in the p-channel of the FET.
    Type: Application
    Filed: December 23, 2008
    Publication date: May 28, 2009
    Applicant: International Business Machines Corporation
    Inventor: Haining S. Yang
  • Patent number: 7537988
    Abstract: A method of fabricating a CMOS integrated circuit includes the steps of providing a substrate having a semiconductor surface, forming a gate dielectric and a plurality of gate electrodes thereon in both NMOS and PMOS regions using the surface. A multi-layer offset spacer stack including a top layer and a compositionally different bottom layer is formed and the multi-layer spacer stack is etched to form offset spacers on sidewalls of the gate electrodes. The transistors designed to utilize a thinner offset spacer are covered with a first masking material, and transistors designed to utilize a thicker offset spacer are patterned and first implanted. At least a portion of the top layer is removed to leave the thinner offset spacers on sidewalls of the gate electrodes. The transistors designed to utilize the thicker offset spacer are covered with a second masking material, and the transistors designed to utilize the thinner offset spacer are patterned and second implanted.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: May 26, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Shashank Ekbote, Deborah J. Riley, Borna Obradovic
  • Patent number: 7537987
    Abstract: In a semiconductor device manufacturing method of the invention, a metal film, for forming a gate electrode, is formed on a gate insulating film. Subsequently, when the metal film is processed, part of the metal film is removed by a wet etching process using a given chemical liquid.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: May 26, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Masahiko Higashi, Satoshi Kume, Jiro Yugami, Shinichi Yamanari, Takahiro Maruyama, Itaru Kanno
  • Patent number: 7534674
    Abstract: First and second transistors are formed adjacent to each other. Both transistors have gate sidewall spacers removed. A stressor layer is formed overlying the first and second transistors. Stress in the stressor layer that overlies the first transistor is modified. Stress in the stressor layer that overlies the second transistor is permanently transferred to a channel of the second transistor. The stressor layer is removed except adjacent the gate electrode sidewalls of the first transistor and the second transistor where the stressor layer is used as gate sidewall spacers. Electrical contact to electrodes of the first transistor and the second transistor is made while using the gate sidewall spacers for determining a physical boundary of current electrodes of the first and second transistors. Subsequently formed first and a second stressors are positioned close to transistor channels of the first and second transistors.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: May 19, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Sinan Goktepeli, Venkat R. Kolagunta
  • Patent number: 7528029
    Abstract: A method is provided for making a semiconductor device. In accordance with the method, a substrate (203) is provided which has first (205) and second (207) gate structures thereon. A first stressor layer (215) is formed over the substrate, and a sacrificial layer (216) is formed over the first stressor layer. A second stressor layer (219) is formed over the sacrificial layer.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: May 5, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Paul A. Grudowski, Darren V. Goedekc, John J. Hackenberg
  • Patent number: 7528030
    Abstract: A semiconductor device includes at least one MOS transistor, each transistor being provided with a source region and a drain region formed in a semiconductor substrate, along with a gate region and spacers. The transistor is covered with a unitary etch stop layer that includes at least a first zone having a first residual stress level (in tension) covering at least one part of the transistor and at least a second zone having a second residual stress level (in compression) covering at least another part of the device. With this configuration, the first residual stress level is higher than the second residual stress level.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: May 5, 2009
    Assignees: STMicroelectronics (Crolles 2) SAS, Commissariat a l'Energie Atomique
    Inventors: Pierre Morin, Catherine Chaton
  • Publication number: 20090104742
    Abstract: A method of forming an integrated circuit can include the steps of providing a substrate having a semiconducting surface and forming a plurality of semiconducting multilayer features on the substrate surface, the features comprising a base layer and a compositionally different capping layer on the base layer. The method can also include forming spacers on sidewalls of the plurality of features, etching the capping layer, where the etching comprises selectively removing the capping layer, removing at least a portion of the base layer to form a plurality of trenches, and forming gate electrodes in the trenches.
    Type: Application
    Filed: October 23, 2007
    Publication date: April 23, 2009
    Applicant: Texas Instruments Incorporated
    Inventor: Michael F. Pas
  • Patent number: 7521314
    Abstract: A method for forming a semiconductor device includes forming a liner over a semiconductor material including a control electrode. The method further includes forming a first spacer adjacent to the control electrode, wherein the first spacer has a first width. The method further includes implanting current electrode dopants. The method further includes removing the first spacer. The method further includes forming a second spacer adjacent the control electrode, wherein the second spacer has a second width and wherein the second width is less than the first width. The method further includes using the second spacer as a protective mask to selectively remove the liner. The method further includes forming a stressor layer overlying the control electrode and current electrode regions.
    Type: Grant
    Filed: April 20, 2007
    Date of Patent: April 21, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Dharmesh Jawarani, Konstantin V. Loiko, Andrew G. Nagy
  • Publication number: 20090085075
    Abstract: A method of fabricating a MOS transistor, and a MOS transistor fabricated by the method. The method can include forming a gate pattern on a semiconductor substrate. The gate pattern can be formed by sequentially stacking a gate electrode and a capping layer pattern. The capping layer pattern is formed to have a lower capping layer pattern and an upper capping layer pattern. The lower capping layer pattern is formed to a smaller width than the upper capping layer pattern.
    Type: Application
    Filed: August 22, 2008
    Publication date: April 2, 2009
    Applicant: Samsung Electronics Co., Ltd
    Inventors: Ki-chul KIM, Hong-Jae Shin, Jung-Deog Lee
  • Patent number: 7510923
    Abstract: Slim spacers are implemented in transistor fabrication. More particularly, wide sidewall spacers are initially formed and used to guide dopants into source/drain regions in a semiconductor substrate. The wide sidewall spacers are then removed and slim sidewall spacers are formed alongside a gate stack of the transistor. The slim spacers facilitate transferring stress from an overlying pre metal dielectric (PMD) liner to a channel of the transistor, and also facilitate reducing a resistance in the transistor by allowing silicide regions to be formed closer to the channel. This mitigates yield loss by facilitating predictable or otherwise desirable behavior of the transistor.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: March 31, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Manoj Mehrotra, Karen Hildegard Ralston Kirmse, Shirin Siddiqui
  • Publication number: 20090053865
    Abstract: Source and drain regions are formed in a first-type semiconductor device. Then, a high tensile stress capping layer is formed over the source and drain regions. A thermal process is then performed to re-crystallize the source and drain regions and to introduce tensile strain into the source and drain regions of the first-type semiconductor device. Afterwards, source and drain regions are formed in a second-type semiconductor device. Then, a high compressive stress capping layer is formed over the source and drain regions of the second-type semiconductor device. A thermal process is performed to re-crystallize the source and drain regions and to introduce compressive strain into the source and drain regions of the second-type semiconductor device.
    Type: Application
    Filed: August 20, 2007
    Publication date: February 26, 2009
    Inventors: Frank Scott Johnson, Shaofeng Yu
  • Patent number: 7491595
    Abstract: An integrated circuit (IC) includes a high voltage first-conductivity type field effect transistor (HV-first-conductivity FET) and a high voltage second-type field effect transistor (HV-second-conductivity FET). The HV first-conductivity FET has a second-conductivity-well and a field oxide formed over the second-conductivity-well to define an active area. A first-conductivity-well is formed in at least a portion of the active area, wherein the first-conductivity-well is formed to have the capability to operate as a first-conductivity-drift portion of the HV-first-conductivity FET. The HV second-conductivity FET has a first-conductivity-well and a field oxide formed over the first-conductivity-well to define an active area. A channel stop region is formed in at least a portion of the active area, wherein the channel stop region is formed to have the capability to operate as second-conductivity-drift portions of the HV-second-conductivity FET.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: February 17, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Chin Huang, Jeff Hintzman, James Weaver, Zhizhang Chen
  • Patent number: 7485524
    Abstract: The present invention relates to improved metal-oxide-semiconductor field effect transistor (MOSFET) devices comprising source and drain (S/D) regions having slanted upper surfaces with respect to a substrate surface. Such S/D regions may comprise semiconductor structures that are epitaxially grown in surface recesses in a semiconductor substrate. The surface recesses preferable each has a bottom surface that is parallel to the substrate surface, which is oriented along one of a first set of equivalent crystal planes, and one or more sidewall surfaces that are oriented along a second, different set of equivalent crystal planes. The slanted upper surfaces of the S/D regions function to improve the stress profile in the channel region as well as to reduce contact resistance of the MOSFET. Such S/D regions with slanted upper surfaces can be readily formed by crystallographic etching of the semiconductor substrate, followed by epitaxial growth of a semiconductor material.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: February 3, 2009
    Assignees: International Business Machines Corporation, Chartered Semiconductor Manufacturing Ltd.
    Inventors: Zhijiong Luo, Yung F. Chong, Judson R. Holt, Zhao Lun, Huilong Zhu
  • Patent number: 7473975
    Abstract: A method for forming a semiconductor device structure, comprising the steps of independently forming source/drain surface metal silicide layers and a fully silicided metal gate in a polysilicon gate stack. Specifically, one or more sets of spacer structures are provided along sidewalls of the polysilicon gate stack after formation of the source/drain surface metal silicide layers and before formation of the silicided metal gate, in order to prevent formation of additional metal silicide structures in the source/drain regions during the gate salicidation process. The resulting semiconductor device structure includes a fully silicide metal gate that either comprises a different metal silicide material from that in the source/drain surface metal silicide layers, or has a thickness that is larger than that of the source/drain surface metal silicide layers. The source/drain regions of the semiconductor device structure are devoid of other metal silicide structures besides the surface metal silicide layers.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Glenn A. Biery, Ghavam Shahidi, Michelle L. Steen
  • Patent number: 7470562
    Abstract: Methods of forming a field effect transistor by forming a gate electrode on a semiconductor substrate and forming aluminum oxide spacers on sidewalls of the gate electrode. Source and drain region dopants of first conductivity type are implanted into the semiconductor substrate using the aluminum oxide spacers as an implant mask. Thereafter, the aluminum oxide spacers are selectively removed by exposing them to tetramethyl ammonium hydroxide (TMAH). The step of selectively removing the aluminum oxide spacers may include exposing the aluminum oxide spacers to tetramethyl ammonium hydroxide having a temperature of about 80° C.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: December 30, 2008
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation
    Inventors: Jong Pyo Kim, Andre I. Nasr
  • Patent number: 7465617
    Abstract: A method of fabricating a semiconductor device that includes dual spacers is provided. A nitrogen atmosphere may be created and maintained in a reaction chamber by supplying a nitrogen source gas. A silicon source gas and an oxygen source gas may then be supplied to the reaction chamber to deposit a silicon oxide layer on a semiconductor substrate, which may include a conductive material layer. A silicon nitride layer may then be formed on the silicon oxide layer by performing a general CVD process. Next, the silicon nitride layer may be etched until the silicon oxide layer is exposed. Because of the difference in etching selectivity between silicon nitride and silicon oxide, portions of the silicon nitride layer may remain on sidewalls of the conductive material layer. As a result, dual spacers formed of a silicon oxide layer and a silicon nitride layer may be formed on the sidewalls.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: December 16, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ja-Hum Ku, Chang-Won Lee, Seong-Jun Heo, Min-Chul Sun, Sun-Pil Youn
  • Patent number: 7446354
    Abstract: In one embodiment, a semiconductor device is formed in a body of semiconductor material. The semiconductor device includes a counter-doped drain region spaced apart from a channel region.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: November 4, 2008
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventors: Gary H. Loechelt, Peter J. Zdebel
  • Publication number: 20080261355
    Abstract: First and second transistors are formed adjacent to each other. Both transistors have gate sidewall spacers removed. A stressor layer is formed overlying the first and second transistors. Stress in the stressor layer that overlies the first transistor is modified. Stress in the stressor layer that overlies the second transistor is permanently transferred to a channel of the second transistor. The stressor layer is removed except adjacent the gate electrode sidewalls of the first transistor and the second transistor where the stressor layer is used as gate sidewall spacers. Electrical contact to electrodes of the first transistor and the second transistor is made while using the gate sidewall spacers for determining a physical boundary of current electrodes of the first and second transistors. Subsequently formed first and a second stressors are positioned close to transistor channels of the first and second transistors.
    Type: Application
    Filed: April 19, 2007
    Publication date: October 23, 2008
    Inventors: Sinan Goktepeli, Venkat R. Kolagunta
  • Publication number: 20080261394
    Abstract: A method for fabricating a semiconductor device having a silicided gate that is directed to forming the silicided structures while maintaining gate-dielectric integrity. Initially, a gate structure has, preferably, a poly gate electrode separated from a substrate by a gate dielectric and a metal layer is then deposited over at least the poly gate electrode. The fabrication environment is placed at an elevated temperature. The gate structure may be one of two gate structures included in a dual gate device such as a CMOS device, in which case the respective gates may be formed at different heights (thicknesses) to insure that the silicide forms to the proper phase. The source and drain regions are preferably silicided as well, but in a separate process performed while the gate electrodes are protected by, for example a cap of photoresist or a hardmask structure.
    Type: Application
    Filed: April 18, 2007
    Publication date: October 23, 2008
    Inventors: Mei-Yun Wang, Cheng-Chen Calvin Hsueh
  • Publication number: 20080261362
    Abstract: A method for forming a semiconductor device includes providing a substrate and forming a p-channel device and an n-channel device, each of the p-channel device and the n-channel device comprising a source, a drain, and a gate, the p-channel device having a first sidewall spacer and the n-channel device having a second sidewall spacer. The method further includes forming a liner and forming a tensile stressor layer over the liner and removing a portion of the tensile stressor layer from a region overlying the p-channel device. The method further includes transferring a stress characteristic of an overlying portion of a remaining portion of the tensile stressor layer to a channel of the n-channel device. The method further includes using the remaining portion of the tensile stressor layer as a hard mask, forming a first recess and a second recess adjacent the gate of the p-channel device.
    Type: Application
    Filed: April 19, 2007
    Publication date: October 23, 2008
    Inventors: Da Zhang, Xiangzheng Bo, Venkat R. Kolagunta
  • Patent number: 7432144
    Abstract: A method of forming a transistor including: forming a gate oxide layer pattern and gate polysilicon layer pattern on a silicon substrate; forming a low energy ion implantation region aligned with both sidewalls of the gate polysilicon layer pattern; forming an amorphous region at a lower part of both sidewalls of the gate polysilicon layer pattern; reducing a channel length by removing the amorphous region so as to form a notch at a lower part of both sidewalls of the gate polysilicon layer pattern; forming a gate spacer at both sidewalls of the gate polysilicon layer pattern; and forming a high energy ion implantation region by high energy ion implantation of source/drain impurities into an entire surface of the silicon substrate including the gate polysilicon layer pattern and gate spacer.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: October 7, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kye-Nam Lee
  • Publication number: 20080237726
    Abstract: A stress-concentrating spacer structure is a stack of an upper gate spacer with a low Young's modulus and a lower gate spacer with a high Young's modulus. The stacked spacer structure surrounds the gate electrode. The stress-concentrating spacer structure may contact an inner gate spacer that contacts the gate electrode or may directly contact the gate electrode. The upper gate spacer deforms substantially more than the lower gate spacer. The stress generated by the stress liner is thus transmitted primarily through the lower gate spacer to the gate electrode and subsequently to the channel of the MOSFET. The efficiency of the transmission of the stress from the stress liner to the channel is thus enhanced compared to conventional MOSFETs structure with a vertically uniform composition within a spacer.
    Type: Application
    Filed: March 28, 2007
    Publication date: October 2, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Thomas W. Dyer
  • Patent number: 7416940
    Abstract: Methods for fabricating a flash memory device are provided. A method comprises forming a plurality of gate stacks overlying a substrate. Each gate stack comprises a charge trapping layer and a control gate. The control gate is a first distance from the substrate. Adjacent gate stacks are a second distance apart. A cell spacer material layer is deposited and is etched to form a spacer about sidewalls of each gate stack. A source/drain impurity doped region is formed adjacent a first gate stack and a last gate stack. The first distance and the second distance are such that, when a voltage is applied to a gate stack during a READ operation, a fringing field is created between the control gate of the gate stack and the substrate and is sufficient to invert a portion of the substrate between the gate stack and an adjacent gate stack.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: August 26, 2008
    Assignee: Spansion LLC
    Inventors: Satoshi Torii, Hidehiko Shiraiwa, Youseok Suh, Lei Xue
  • Patent number: 7416927
    Abstract: Method for producing a first SOI field effect transistor with predetermined transistor properties by forming a laterally delimited layer sequence with a gate-insulating layer and a gate region on an undoped substrate, forming a spacer layer having a predetermined thickness, on at least a portion of the sidewalls of the laterally delimited layer sequence, and forming two source/drain regions having a predetermined dopant concentration profile, by introducing dopant into two surface regions of the substrate which are adjoined by the spacer layer, the layer sequence and the spacer layer forming a shading structure that prevents dopant from being introduced into a surface region of the substrate between the two source/drain regions, wherein the predetermined transistor properties of the first SOI field effect transistor are set by setting the thickness of the spacer layer and by setting the dopant concentration profile.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: August 26, 2008
    Assignee: Infineon Technologies AG
    Inventors: Ralf Gottsche, Christian Pacha, Thomas Schulz, Werner Steinhogl
  • Publication number: 20080191284
    Abstract: An improved method for applying stress proximity technique process on a semiconductor device and the improved device is disclosed. In one embodiment, the method utilizes an additional set of sidewall spacers on one or more NFET devices during the fabrication process. This protects the one or more of the NFET devices during the activation of a compressive PFET stress liner, thereby reducing the compressive forces on the one or more NFET devices, and creating a semiconductor device with improved performance.
    Type: Application
    Filed: February 8, 2007
    Publication date: August 14, 2008
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, CHARTERED SEMICONDUCTOR MANUFACTURING, LTD., SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Christopher Vincent Baiocco, Xiangdong Chen, Wenzhi Gao, Young Gun Ko, Young Way Teh
  • Publication number: 20080182372
    Abstract: A method of forming a complementary metal oxide semiconductor (CMOS) device includes forming an oxide layer on sidewalls and a top surface of a patterned gate conductor, and on sidewalls of a gate insulating layer formed on a semiconductor substrate; forming a first carbon-based layer over the gate conductor, gate insulating layer, and substrate; etching the first carbon-based layer so as to create a first set of carbon spacers; forming a second carbon-based layer over the gate conductor, gate insulating layer, substrate, and first set of carbon spacers; etching the second carbon-based layer so as to create a second set of carbon spacers; forming silicide contacts on the gate conductor, and on source and drain regions formed in the substrate; removing the first and second sets of carbon spacers; and forming a stress-inducing nitride layer over the substrate, silicide contacts, gate conductor, and gate insulating layer.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Applicant: International Business Machines Corporation
    Inventors: Joyce C. Liu, Hongwen Yan, Qingyun Yang, Ying Zhang
  • Patent number: 7396716
    Abstract: The present invention provides a method of fabricating a microelectronics device. In one aspect, the method comprises forming a capping layer 610 over gate structures 230 located over a microelectronics substrate 210 wherein the gate structures 230 include sidewall spacers 515 and have a doped region 525 located between them. A protective layer 710 is placed over the capping layer 610 and the doped region 525, and a portion of the protective layer 710 and capping layer 610 that are located over the gate structures are removed to expose a top surface of the gate structures 230. A remaining portion of the protective layer 710 and capping layer 610 remains over the doped region 525. With the top surface of the gate structures 230 exposed, metal is incorporated into the gate structures to form gate electrodes 230.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: July 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Freidoon Mehrad, Shaofeng Yu, Joe G. Tran
  • Publication number: 20080157215
    Abstract: Structures for reducing or even preventing the diffusion from an NFET side of a gate to a PFET side of the gate in a semiconductor device are disclosed, as well as manufacturing methods thereof. A diffusion barrier is formed in the shared gate at the N/P boundary between the NFET and the PFET. The diffusion barrier is doped with one or more types of ions, such as, but not limited to, oxygen, nitrogen, fluorine, silicon, germanium, or xenon ions. By using a diffusion barrier as disclosed herein, the diffusion of ions through a common gate from the NFET side to the PFET side in a CMOS technology semiconductor device node may be significantly reduced or even prevented altogether. This may further result in relatively higher performance of the NFET/PFET pair.
    Type: Application
    Filed: December 28, 2006
    Publication date: July 3, 2008
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Katsura Miyashita
  • Publication number: 20080153221
    Abstract: A method 300 for forming a transistor's drain extension 70 and recessed strained epi regions 150 with a single mask step 306. In an example embodiment, the method 300 may include forming a patterned photoresist layer 200 over a protection layer 190 in a NMOS region 50 and then etching exposed portions of the protection layer 190 in the PMOS region 60 to form extension sidewalls 210 on the transistors 30 in the PMOS region 60 plus a protective hardmask 220 over the NMOS region 50. The method 300 may further include forming the extension regions 70 for the PMOS region transistors 30, performing a recess etch 240 of active regions 230 of the PMOS region transistors 30, and forming the recessed strained epi regions 150.
    Type: Application
    Filed: December 20, 2006
    Publication date: June 26, 2008
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Seetharaman Sridhar, Majid Mansoori
  • Patent number: 7384838
    Abstract: Semiconductor structures in which the gate electrode of a FinFET is masked from the process introducing dopant into the fin body of the FinFET to form source/drain regions and methods of fabricating such semiconductor structures. The gate doping, and hence the work function of the gate electrode, is advantageously isolated from the process that dopes the fin body to form the source/drain regions. The sidewalls of the gate electrode are covered by sidewall spacers that are formed on the gate electrode but not on the sidewall of the fin body.
    Type: Grant
    Filed: September 13, 2005
    Date of Patent: June 10, 2008
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman
  • Publication number: 20080128823
    Abstract: A first NMIS transistor includes: a first gate dielectric film over the first active region; a first gate electrode on the first gate dielectric film; a first side-wall dielectric film on side surfaces of the first gate dielectric film and the first gate electrode; a first source/drain region in the first active region outside the first side-wall dielectric film; a first silicide layer in a top-layer portion of the first source/drain region; a second side-wall dielectric film on the first silicide layer around a corner at which the side surface of the first side-wall dielectric film meets an upper surface of the first silicide layer; and a first stressor film for exerting a tensile stress on a channel region in a gate length direction, the first stressor film covering the first gate electrode, the first side-wall dielectric film, and the second side-wall dielectric film.
    Type: Application
    Filed: October 11, 2007
    Publication date: June 5, 2008
    Inventor: Shinji Takeoka
  • Patent number: 7381623
    Abstract: The embodiments of the invention provide a method, etc. for a pre-epitaxial disposable spacer integration scheme with very low temperature selective epitaxy for enhanced device performance. More specifically, one method begins by forming a first gate and a second gate on a substrate. Next, an oxide layer is formed on the first and second gates; and, a nitride layer is formed on the oxide layer. Portions of the nitride layer proximate the first gate, portions of the oxide layer proximate the first gate, and portions of the substrate proximate the first gate are removed so as to form source and drain recesses proximate the first gate. Following this, the method removes remaining portions of the nitride layer, including exposing remaining portions of the oxide layer. The removal of the remaining portions of the nitride layer only exposes the remaining portions of the oxide layer and the source and drain recesses.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: June 3, 2008
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Judson R. Holt, Kern Rim, Dominic J. Schepis
  • Publication number: 20080124859
    Abstract: Methods of forming field effect transistors include methods of forming PMOS and NMOS transistors by forming first and second gate electrodes on a substrate and then forming an electrically insulating layer having etch-enhancing impurities therein, on the first and second gate electrodes. The electrically insulating layer may be formed as a boron-doped silicon nitride layer or an electrically insulating layer that is doped with germanium and/or fluorine. The electrically insulating layer is etched-back to define first sidewall spacers on the first gate electrode and second sidewall spacers on the second gate electrode. P-type source and drain region dopants are then implanted into the semiconductor substrate, using the first sidewall spacers as a first implant mask. The second sidewall spacers on the second gate electrode are then etched back to reduce their lateral dimensions.
    Type: Application
    Filed: November 27, 2006
    Publication date: May 29, 2008
    Inventors: Min Chul Sun, Jong Ho Yang, Young Gun Ko, Ja Hum Ku, Jae Eon Park, Jeong Hwan Yang, Christopher Vincent Baiocco, Gerald Leake
  • Patent number: 7368372
    Abstract: The invention includes methods of fabricating multiple sets of field effect transistors. In one implementation, an etch stop layer is formed over an insulative capping layer which is formed over a conductive gate layer formed over a substrate. The etch stop layer, the insulative capping layer, and the conductive gate layer are patterned and etched to form a first set of conductive gate constructions over the substrate. A dielectric material is formed and planarized over the first set of gate constructions. Thereafter, the insulative capping layer and the conductive gate layer are patterned and etched to form a second set of conductive gate constructions over the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: May 6, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Fred D. Fishburn, Martin Ceredig Roberts
  • Patent number: 7364963
    Abstract: A method for fabricating a semiconductor device is provided. The method includes: implanting impurities onto a substrate by performing an ion implantation process; recessing portions of the substrate to form a plurality of trenches; performing a first thermal process to form junction regions between the trenches in the substrate by diffusing the impurities and simultaneously to form a gate oxide layer on the substrate and on the junction regions; forming a polysilicon layer on the gate oxide layer; sequentially etching the polysilicon layer and the gate oxide layer to form a gate structure, and to form first spacers on lateral walls of the junction regions; forming second spacers on lateral walls of the first spacers and the gate structure; and forming a metal silicide layer on top portions of the junction regions and the gate structure.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: April 29, 2008
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Yong-Sik Jeong
  • Publication number: 20080096337
    Abstract: The invention provides, in one aspect, a method of forming a semiconductor device. The method includes forming a gate dielectric layer and a gate electrode layer over a substrate. A portion of the gate dielectric layer and gate electrode layer is etched to form a plurality of gate electrodes. A first dielectric material is formed over the substrate and the gate electrodes. A spacing layer comprising an organic material is deposited over the first dielectric material, and a portion thereof is removed to expose horizontal portions of the first dielectric material and form organic spacers on sidewalls of the gate electrodes. A first dopant is implanted through the first dielectric material into the substrate, after which the organic spacers are removed. An insulating layer is formed over the gate electrodes, and interconnects are fabricated within the insulating layer to connect the gate electrodes.
    Type: Application
    Filed: October 6, 2006
    Publication date: April 24, 2008
    Applicant: Texas Instruments Incorporated
    Inventor: Howard Tigelaar
  • Publication number: 20080087967
    Abstract: A semiconductor device according to example embodiments may include a substrate having an NMOS area and a PMOS area, isolation regions and well regions formed in the substrate, gate patterns formed on the substrate between the isolation regions, source/drain regions formed in the substrate between the gate patterns and the isolation regions, source/drain silicide regions formed in the source/drain regions, a tensile stress layer formed on the NMOS area, and a compressive stress layer formed on the PMOS area, wherein the tensile stress layer and compressive stress layer may overlap at a boundary region of the NMOS area and the PMOS area. The semiconductor devices according to example embodiments and methods of manufacturing the same may increase the stress effect on the active region while reducing or preventing surface damage to the active region.
    Type: Application
    Filed: October 9, 2007
    Publication date: April 17, 2008
    Inventor: Ki-chul Kim
  • Patent number: 7344937
    Abstract: Exemplary embodiments of the invention provide pixel circuits having transistors with silicide on top of their gate stacks. In the exemplary embodiments, silicide forming material does not contaminate other components such as the photoconversion devices of an imager integrated circuit (IC). The photoconversion devices are blocked during silicide formation and are therefore not contaminated with silicide or metallic components. In other exemplary embodiments, each pixel of an imager also includes an optional in-pixel capacitor that has stabilized capacitance versus voltage characteristics due to its metal-dielectric-polysilicon structure, where the metal is a metal silicide over a conductive silicon layer.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: March 18, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Sungkwon C. Hong
  • Patent number: 7341903
    Abstract: A semiconductor structure comprises a transistor element formed in a substrate. A stressed layer is formed over the transistor element. The stressed layer has a predetermined compressive intrinsic stress having an absolute value of about 1 GPa or more. Due to this high intrinsic stress, the stressed layer exerts considerable elastic forces to the channel region of the transistor element. Thus, compressive stress is created in the channel region. The compressive stress leads to an increase of the mobility of holes in the channel region.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: March 11, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joerg Hohage, Hartmut Ruelke, Kai Frohberg
  • Publication number: 20080050870
    Abstract: A method for fabricating a semiconductor device includes the steps of: a) forming an insulating film on a semiconductor substrate; b) forming a first conductive film of a material which does not contain nitrogen on the insulating film; and c) forming a second conductive film of a material containing nitrogen on the first conductive film. The method further includes the step of d) patterning the first conductive film and the second conductive film to form a gate electrode and patterning the insulating film to form a gate insulating film.
    Type: Application
    Filed: June 12, 2007
    Publication date: February 28, 2008
    Inventor: Kazuhiko Yamamoto
  • Publication number: 20080038887
    Abstract: A method of making a transistor device having silicided source/drain is provided. A gate electrode is formed on a substrate with a gate dielectric layer therebetween. A spacer is formed on sidewalls of the gate electrode. A source/drain is implanted into the substrate. A pre-amorphization implant (PAI) is performed to form an amorphized layer on the source/drain. A post-PAI annealing process is performed to repair defects formed during the PAI process. A metal silicide layer is then formed from the amorphized layer.
    Type: Application
    Filed: August 8, 2006
    Publication date: February 14, 2008
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Wei Chen, Tzung-Yu Hung, Chun-Chieh Chang
  • Publication number: 20080023771
    Abstract: A method of forming a semiconductor structure comprises providing a semiconductor substrate comprising a first transistor element and a second transistor element. Each of the first transistor element and the second transistor element comprises a gate electrode. A stressed material layer is deposited over the first transistor element and the second transistor element. The stressed material layer is processed to form from the stressed material layer sidewall spacers adjacent the gate electrode of the second transistor element and a hard mask covering the first transistor element. A pair of cavities is formed adjacent the gate electrode of the second transistor element. A pair of stress-creating elements is formed in the cavities and the hard mask is at least partially removed.
    Type: Application
    Filed: March 14, 2007
    Publication date: January 31, 2008
    Inventors: Karla Romero, Sven Beyer, Jan Hoentschel, Rolf Stephan
  • Patent number: 7314793
    Abstract: During the formation of a transistor element, sidewalls spacers are removed or at least partially etched back after ion implantation and silicidation, thereby rendering the mechanical coupling of a contact etch stop layer to the underlying drain and source regions more effective. Hence, the mechanical stress may be substantially induced by the contact etch step layer rather than by a combination of the spacer elements and the etch stop layer, thereby significantly facilitating the stress engineering in the channel region. By additionally performing a plasma treatment, different amounts of stress may be created in different transistor devices without unduly contributing to process complexity.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: January 1, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Matthias Schaller, Massud Aminpur, Martin Mazur, Roberto Klingler