And Additional Field Effect Transistor (e.g., Sense Or Access Transistor, Etc.) Patents (Class 438/241)
  • Patent number: 7268037
    Abstract: A process for modifying sections of a semiconductor includes covering the sections to remain free of doping with a metal oxide, e.g., aluminum oxide. Then, the semiconductor is doped, for example, from the gas phase, in those sections that are not covered by the aluminum oxide. Finally, the aluminum oxide is selectively removed again, for example using hot phosphoric acid. Sections of the semiconductor surface which are formed from silicon, silicon oxide or silicon nitride remain in place on the wafer.
    Type: Grant
    Filed: January 24, 2005
    Date of Patent: September 11, 2007
    Assignee: Infineon Technologies, AG
    Inventors: Stefan Jakschik, Thomas Hecht, Uwe Schröder, Matthias Goldbach
  • Patent number: 7262092
    Abstract: A high-voltage stacked capacitor includes a first capacitor and a second capacitor. Each capacitor includes a first plate having a first semiconductive body and a second plate having a floating electrode. The first and second semiconductive bodies are electrically isolated from each other. The floating electrode includes an intercapacitor node configured to self-adjust to a value less than a working voltage impressed on the stacked capacitor.
    Type: Grant
    Filed: January 4, 2006
    Date of Patent: August 28, 2007
    Assignee: Impinj, Inc.
    Inventors: Christopher J. Diorio, Frederic J. Bernard
  • Patent number: 7253114
    Abstract: A method is provided for forming at least three devices with different gate oxide thicknesses and different associated operating voltages, in the same integrated circuit device. The method includes forming a plurality of gate oxides with different thicknesses in high voltage and low voltage areas in the same integrated circuit device. A dry etching operation is used to remove the relatively thick gate oxide from the high voltage area using photoresist masking of the low voltage area and a hard mask in the high voltage area, to mask the gate oxide films. A wet etching procedure is then used to remove the gate oxide film from the low voltage areas. The hard mask may be formed over a polysilicon structure.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chien-Mao Chen, Jun Xiu Liu, Cuker Huang, Chi-Hsuen Chang
  • Patent number: 7244647
    Abstract: An embedded capacitor structure in a circuit board and a method for fabricating the same are proposed. The circuit board is formed with a first circuit layer on at least one surface thereof, wherein the first circuit layer has at least one first electrode plate for the capacitor structure. Then, a dielectric layer is formed on the first circuit layer and made flush with the first circuit layer. The dielectric layer has a relatively low dielectric constant and good fluidity to effectively fill the spaces between patterned traces of the first circuit later. A capacitive material is deposited on the dielectric layer and the first circuit layer. Finally, a second circuit layer is formed on the capacitive material and has at least one second electrode plate corresponding to the first electrode plate, together with the capacitive material disposed in-between, to form the capacitor structure.
    Type: Grant
    Filed: November 1, 2005
    Date of Patent: July 17, 2007
    Assignee: Phoenix Precision Technology Corporation
    Inventor: Ruei-Chih Chang
  • Patent number: 7241657
    Abstract: The invention relates to an integrated semiconductor memory with at least one memory cell having at least one transistor which forms an inversion channel in the switched-on state. The transistor comprises a structure element having a first source/drain region, a second source/drain region and a region arranged between the first and the second source/drain region, the structure element is insulated from a semiconductor substrate by an insulation layer, a gate dielectric being arranged on the structure element and a word line being arranged on the gate dielectric.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: July 10, 2007
    Assignee: Infineon Technologies AG
    Inventor: Andreas Spitzer
  • Patent number: 7232717
    Abstract: A method of forming a non-volatile DRAM includes, in part: forming p-well and an n-well between two trench isolation regions formed in a semiconductor substrate, forming a polysilicon control gate of the non-volatile device disposed in the non-volatile DRAM, forming a first oxide spacer above portions of the body region and adjacent said first control gate, forming gate oxide layers of varying thicknesses above the body region, forming the guiding gate of the non-volatile device and the gate of an associated passgate transistor, forming LDD implant regions of the non-volatile device and the associated pass-gate transistor, forming source/drain regions of the non-volatile device and the associated pass-gate transistor, depositing a dielectric layer over the polysilicon guiding gate of the non-volatile device and the polysilicon gate of the associated passgate transistor, forming polysilicon landing pads, and forming polysilicon vertical walls defining capacitor plates of the non-volatile DRAM capacitor.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: June 19, 2007
    Assignee: O2IC, Inc.
    Inventors: Kyu Hyun Choi, Sheau-suey Li
  • Patent number: 7229877
    Abstract: Methods of forming a deep trench capacitor memory device and logic devices on a single chip with hybrid surface orientation. The methods allow for fabrication of a system-on-chip (SoC) with enhanced performance including n-type complementary metal oxide semiconductor (CMOS) device SOI arrays and logic transistors on (100) surface orientation silicon, and p-type CMOS logic transistors on (110) surface orientation silicon. In addition, the method fabricates a silicon substrate trench capacitor within a hybrid surface orientation SOI and bulk substrate. Cost-savings is realized in that the array mask open and patterning for silicon epitaxial growth is accomplished in the same step and with the same mask.
    Type: Grant
    Filed: November 17, 2004
    Date of Patent: June 12, 2007
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Carl J. Radens
  • Patent number: 7217610
    Abstract: A method for the integration of field-effect transistors for memory and logic applications in a semiconductor substrate is disclosed. The gate dielectric and a semiconductor layer are deposited over the whole area both in the logic region and in the memory region. From these layers, the gate electrodes in the memory region are formed, the source and drain regions are implanted and the memory region is covered in a planarizing manner with an insulation material. Afterward, the gate electrodes are formed from the semiconductor layer and the gate dielectric in the logic region.
    Type: Grant
    Filed: July 30, 2002
    Date of Patent: May 15, 2007
    Assignee: Infineon Technologies AG
    Inventors: Werner Graf, Albrecht Kieslich
  • Patent number: 7214576
    Abstract: A manufacturing method of a semiconductor device disclosed herein comprises: forming a first protrusion; forming a second protrusion which is higher than the first protrusion; forming a first sidewall on a side surface of the second protrusion; forming a first film so that a surface of the first film is located lower than the second protrusion; forming a mask on a side surface of the first sidewall on a side surface of the second protrusion which protrudes from the surface of the first film; and etching the first film with the mask so as to form a second sidewall on the side surface of the first sidewall on the side surface of the second protrusion but not to form the second sidewall on a side surface of the first protrusion, the second sidewall being formed of the mask and the first film.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: May 8, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akio Kaneko, Atsushi Yagishita
  • Patent number: 7208369
    Abstract: Semiconductor devices having a dual polysilicon electrode and a method of manufacturing are provided. The semiconductor devices include a first polysilicon layer deposited on a second polysilicon layer. Each polysilicon layer may be doped individually. The method also allows for some semiconductor devices on a wafer to have a single polysilicon wafer and other devices to have a dual polysilicon layer. In one embodiment, the semiconductor devices are utilized to form a memory device wherein the storage capacitors and transistors located in the cell region are formed with a dual polysilicon layer and devices in the periphery region are formed with a single polysilicon layer.
    Type: Grant
    Filed: September 15, 2003
    Date of Patent: April 24, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Yang Pai, Min-Hsiung Chiang, Chen-Jong Wang, Shou-Gwo Wuu
  • Patent number: 7205241
    Abstract: Methods for manufacturing semiconductor devices with contact bodies extended in a direction of a bit line to increase the contact area between a contact body and a storage electrode is provided. In one aspect a method includes forming gate lines on a semiconductor substrate, forming a first insulating layer to cover the gate lines, forming first contact pads and second contact pads, which are electrically connected to the semiconductor substrate between the gate lines, by penetrating the first insulating layer. Further, a second insulating layer is formed to cover the first contact pads and the second contact pads, and bit lines are formed across over the gate lines and are electrically connected to the second contact pads by penetrating the second insulating layer. In addition, a third insulating layer is formed to cover the bit lines and is selectively etched to form a band-type opening that crosses the bit lines and exposes the first contact pads.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: April 17, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-min Park, Jung-hyeon Lee, Han-ku Cho, Joon-soo Park
  • Patent number: 7195970
    Abstract: A metal-insulator-metal (MIM) capacitor is provided. The bottom electrode of the MIM capacitor is electrically connected to a connection node. The connection node may be, for example, a contact formed in an interlayer dielectric, a polysilicon connection node, a doped polysilicon or silicon region, or the like. A contact provides an electrical connection between the connection node and components formed above the connection node. A second contact provides an electrical connection to the top electrode.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: March 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Chi Tu, Wai-Yi Lien
  • Patent number: 7195972
    Abstract: A trench capacitor DRAM cell in an SOI wafer uses the silicon device layer in the array as part of passing wordlines, stripping the silicon device layer in the array outside the wordlines and uses the BOX layer as the array top oxide separating the passing wordlines from the substrate.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: March 27, 2007
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Ramachandra Divakaruni, Deok-kee Kim
  • Patent number: 7189613
    Abstract: A process for integrally fabricating a memory cell capacitor and a logic device is disclosed. A first conductive layer and second conductive layer are formed above a semiconductor substrate with a logic region and memory cell region. A first photoresist layer is formed to cover the logic region, and expose an inter-metal dielectric layer adjacent to the second conductive layer in the memory cell region. The exposed inter-metal dielectric layer is etched off to form an opening adjacent to the second conductive layer. A capacitor dielectric layer and third conductive layer are formed on inner walls of the opening to constitute a metal-insulator-metal capacitor.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: March 13, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuo-Chi Tu
  • Patent number: 7164161
    Abstract: A device, as in an integrated circuit, includes diverse components such as transistors and capacitors. After conductive layers for all types of components are produced, a silicide layer is provided over conductive layers, reducing resistance. The device can be an imager in which pixels in an array includes a capacitor and readout circuitry with NMOS transistors. Periphery circuitry around the array can include PMOS transistors. Because the silicide layer is formed after the conductive layers, it is not exposed to high temperatures and, therefore, migration and cross-contamination of dopants is reduced.
    Type: Grant
    Filed: November 18, 2003
    Date of Patent: January 16, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Sungkwon C. Hong
  • Patent number: 7160771
    Abstract: Gate oxides having different thicknesses are formed on a semiconductor substrate by forming a first gate oxide on the top surface of the substrate, forming a sacrificial hard mask over a selected area of the first gate oxide; and then forming a second gate oxide. A first poly layer may be formed on the first gate oxide, under the hard mask. After the hard mask is removed, a second poly layer may be formed over the second gate oxide and over the first poly layer. This enables the use of high-k dielectric materials, and the first gate oxide can be thinner than the second gate oxide.
    Type: Grant
    Filed: November 28, 2003
    Date of Patent: January 9, 2007
    Assignee: International Business Machines Corporation
    Inventors: Anthony I-Chih Chou, Michael Patrick Chudzik, Toshiharu Furukawa, Oleg Gluschenkov, Paul Daniel Kirsch, Byoung Hun Lee, Katsunori Onishi, Heemyoung Park, Kristen Colleen Scheer, Akihisa Sekiguchi
  • Patent number: 7157329
    Abstract: A trench capacitor with improved strap is disclosed. The strap is located above the top surface of the capacitor. The top surface of the trench capacitor, which is formed by the top surfaces of the collar and storage plate, is planar. By locating the strap on a planar surface, the divot present in conventional strap processes is avoided. This results in improved strap reliability and device performance.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: January 2, 2007
    Assignee: Infineon Technologies Aktiengesellschaft
    Inventors: Helmut Tews, Jochen Beintner, Stephan Kudelka
  • Patent number: 7148103
    Abstract: Method of manufacturing a semiconductor device, including a first baseline technology electronic circuit (1) and a second option technology electronic circuit (2) as functional parts of a system-on-chip, by: manufacturing the first electronic circuit (1) with a first conductive layer (6; 6) that is patterned by subjecting an exposed layer portion thereof to Reactive Ion Etching (RIE); manufacturing the second electronic circuit (2) with a second conductive layer (6; 8) that is patterned by subjecting an exposed layer portion thereof to RIE; providing a tile structure (25; 26); providing the tile structure (25; 26) with at least one dummy conductive layer (6; 8) produced in the same processing step as the second conductive layer (6; 8); and exposing the dummy conductive layer (6; 8), at least partially, to obtain an exposed dummy layer portion, and RIE-etching of that exposed portion too when the second (6; 8) conductive layer is subjected to RIE.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: December 12, 2006
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Antonius Maria Petrus Johannes Hendriks, Guido Jozef Maria Dormans, Robertus Dominicus Joseph Verhaar
  • Patent number: 7148102
    Abstract: A method of forming buried bit line DRAM circuitry includes collectively forming a buried bit line forming trench, bit line vias extending from the bit line forming trench, and memory array storage node vias within a dielectric mass using only two masking steps. Conductive material is simultaneously deposited to within the buried bit line forming trench, the bit line vias, and the memory storage node vias within the dielectric mass. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: December 12, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Ann K. Liao, Michael J. Westphal
  • Patent number: 7144766
    Abstract: When an oxidation treatment for regenerating a gate insulating film 6 is performed after forming gate electrodes 7A of a polymetal structure in which a WNx film and a W film are stacked on a polysilicon film, a wafer 1 is heated and cooled under conditions for reducing a W oxide 27 on the sidewall of each gate electrode 7A. As a result, the amount of the W oxide 27 to be deposited on the surface of the wafer 1 is reduced.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: December 5, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Naoki Yamamoto, Hiroyuki Uchiyama, Norio Suzuki, Eisuke Nishitani, Shin'ichiro Kimura, Kazuyuki Hozawa
  • Patent number: 7141472
    Abstract: Methods of forming dynamic random access memories (DRAM) are described. In one embodiment, an insulative layer is formed over a substrate having a plurality of conductive lines which extend within a memory array area and a peripheral area outward of the memory array. Capacitor container openings and contact openings are contemporaneously etched over the memory array and conductive line portions within the peripheral area respectively. In another embodiment, a patterned masking layer is formed over a substrate having a plurality of openings formed within an insulative layer, wherein some of the openings comprise capacitor container openings within a memory array and other of the openings comprise conductive line contact openings disposed over conductive lines within a peripheral area outward of the memory array.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: November 28, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Mike Hermes
  • Patent number: 7129135
    Abstract: A first conductive film for forming a plurality of word lines is formed in a memory cell array formation region of a semiconductor substrate for a nonvolatile semiconductor memory device, and a second conductive film is formed in a semiconductor device formation region of the semiconductor substrate. Next, openings are formed in the first conductive film by a first dry etching process such that the word lines in the memory cell array formation region are located apart from one another. Thereafter, sidewall insulating films for the word lines are formed in the openings. Next, parts of the sidewall insulating films located adjacent to the ends of the word lines are removed by wet etching. Next, a part of the first conductive film located around a word line formation region is removed by a second dry etching process.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: October 31, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Yoshinori Odake
  • Patent number: 7112486
    Abstract: The present invention provides a method for fabricating a semiconductor device having a dual gate dielectric structure capable of obtaining a simplified process and improving device reliability. The method includes the steps of: forming an insulation layer on a substrate; forming a nitride layer on the insulation layer; selectively etching the nitride layer in a predetermined region of the substrate; performing a radical oxidation process to form an oxide layer on the insulation layer and the etched nitride layer; forming a gate conductive layer on the oxide layer; and performing a selective etching process to the gate conductive layer, the oxide layer, the nitride layer and the insulation layer, so that the first dielectric structure formed in the predetermined region includes the insulation layer and the oxide layer and the second gate dielectric structure formed in regions other than the predetermined region includes the insulation layer, the nitride layer and the oxide layer.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: September 26, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Heung-Jae Cho, Se-Aug Jang, Kwan-Yong Lim, Jae-Geun Oh, Hong-Seon Yang, Hyun-Chul Shon
  • Patent number: 7105402
    Abstract: The invention includes a DRAM array having a structure therein which includes a first material separated from a second material by an intervening insulative material. The first material is doped to at least 1×1017 atoms/cm3 with n-type and p-type dopant. The invention also includes a semiconductor construction in which a doped material is over a segment of a substrate. The doped material has a first type majority dopant therein, and is electrically connected with an electrical ground. A pair of conductively-doped diffusion regions are adjacent the segment, and spaced from one another by at least a portion of the segment. The conductively-doped diffusion regions have a second type majority dopant therein. The invention also encompasses methods of forming semiconductor constructions.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: September 12, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Mark McQueen, Luan C. Tran, Chandra Mouli
  • Patent number: 7105372
    Abstract: A method of forming an MTJ memory cell and/or an array of such cells is provided wherein each such cell has a small circular horizontal cross-section of 1.0 microns or less in diameter and wherein the ferromagnetic free layer of each such cell has a magnetic anisotropy produced by a magnetic coupling with a thin antiferromagnetic layer that is formed on the free layer. The MTJ memory cell so provided is far less sensitive to shape irregularities and edge defects than cells of the prior art.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: September 12, 2006
    Assignee: Headway Technologies, Inc.
    Inventors: Tai Min, Cheng Horng, Po Kang Wang
  • Patent number: 7087483
    Abstract: A single transistor planar RAM memory cell with improved charge retention and a method for forming the same, the method including providing forming a pass transistor structure adjacent a storage capacitor structure separated by a predetermined distance; carrying out a first ion implantation process to form first and second doped regions adjacent either side of the pass transistor structure, the first doped region defined by the predetermined distance; depositing a spacer dielectric layer; etching back the spacer dielectric layer to leave an unetched spacer dielectric layer portion overlying the first doped region while forming a sidewall spacer of a predetermined width overlying a first portion of the second doped region; and, carrying out a second ion implantation process to form a relatively higher dopant concentration in a second portion of the second doped region.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: August 8, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Mu Huang, Mingchu King, Yun Chang
  • Patent number: 7087492
    Abstract: A gate electrode layer is doped in a first section of a semiconductor substrate. By means of a patterning, encapsulated gate electrodes emerge from the gate electrode layer, which gate electrodes are arranged in a high packing density in a first section and are assigned to selection transistors of memory cells, and are arranged in a low packing density in a second section and are assigned to transistors of logic circuits. After a processing of the selection transistors, the encapsulated gate electrodes are uncovered in the second section and are subsequently doped in the same way in each case simultaneously with the respectively assigned source/drain regions. Together with a subsequent siliciding of the gate electrodes and of the source/drain regions, the performance of the transistors in the second section is significantly increased with little additional outlay.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: August 8, 2006
    Assignee: Infineon Technologies AG
    Inventors: Martin Popp, Lars Heineck
  • Patent number: 7081381
    Abstract: A process and product for making integrated circuits with dense logic and/or linear regions and dense memory regions is disclosed. On a common substrate, a dual hard mask process separately forms stacks of logic and/or linear transistors and EEPROM memory transistors. By using the process, the logic and/or linear and memory transistors are made with different sidewall insulating layers. The logic and/or linear transistors have relatively thin sidewall insulating layers sufficient to provide isolation from adjacent devices and conductors. The memory transistors have thicker sidewall insulating layer to prevent the charge stored in the memory device from adversely influencing the operation of the memory transistor.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: July 25, 2006
    Assignee: Infineon Technologies AG
    Inventor: Danny Shum
  • Patent number: 7064029
    Abstract: A semiconductor memory device has access transistors with a gate and a pair of impurity diffusion layers formed on a semiconductor substrate and memory capacitors with a storage node electrode and a cell plate electrode. The electrodes are connected to each other via a capacitive insulating layer made of a ferroelectric material. The storage node electrode has a surface covered with the capacitive insulating layer and is formed in a shape of column on one of the pair of impurity diffusion layers in a hole formed from an inter-layer insulating film covering the access transistor to the one of the pair of impurity diffusion layers. A upper surface of the column does not exceed the inter-layer insulating film. The storage node electrode formed in the hole face the cell plate electrode via the inter-layer insulating film.
    Type: Grant
    Filed: January 3, 2005
    Date of Patent: June 20, 2006
    Assignee: United Microelectronics Corporation
    Inventors: Hideki Takeuchi, Hirohiko Izumi
  • Patent number: 7056786
    Abstract: A self-aligned buried contact (BC) pair includes a substrate having diffusion regions; an oxide layer exposing a pair of diffusion regions formed on the substrate; bit lines formed between adjacent diffusion regions and on the oxide layer, each of the bit lines having bit line sidewall spacers formed on sidewalls thereof; a first interlayer dielectric (ILD) layer formed over the bit lines and the oxide layer; a pair of BC pads formed between adjacent bit lines and within the first ILD layer, each BC pad being aligned with one of the pair of exposed diffusion regions in the substrate; and a pair of capacitors, each of the pair of BC pads having one of the pair of capacitors formed thereon, wherein a pair of the bit line sidewall spacers is adjacent to each of the BC pads and the pair of bit line sidewall spacers has an asymmetrical shape.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: June 6, 2006
    Assignee: Samsung Electronics Co., LTD
    Inventors: Cheol-Ju Yun, Chang-Hyun Cho, Tae-Young Chung
  • Patent number: 7057237
    Abstract: A method is described for forming three or more spacer widths in transistor regions on a substrate. In one embodiment, different silicon nitride thicknesses are formed above gate electrodes followed by nitride etching to form spacers. Optionally, different gate electrode thicknesses may be fabricated and a conformal oxide layer is deposited which is subsequently etched to form different oxide spacer widths. A third embodiment involves a combination of different gate electrode thickness and different nitride thicknesses. A fourth embodiment involves selectively thinning an oxide layer over certain gate electrodes before etching to form spacers. Therefore, spacer widths can be independently optimized for different transistor regions on a substrate to enable better drive current in transistors with narrow spacers and improved SCE control in neighboring transistors with wider spacers. Better drive current is also obtained in transistors with shorter polysilicon thickness.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: June 6, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Howard Chih Hao Wang, Chenming Hu, Chun-Chieh Lin
  • Patent number: 7052954
    Abstract: A gate electrode <13> is provided to fill up a trench <300> while covering its opening. Assuming that WG represents the diameter (sectional width) of a head portion of the gate electrode <13> located upward beyond a P-type base layer <4> and an N+-type emitter diffusion layer <51>, WT represents the diameter (sectional width) of an inner wall of a linearly extending portion of the trench <300> and WC represents the distance between the boundary (the inner wall of the trench 300) between a gate oxide film <11> and the P-type base layer <4> and an end surface of the gate electrode <13> located upward beyond the trench <300> in a section of the trench <300>, relation of either WG?1.3·WT or WC?0.2 ?m holds between these dimensions.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: May 30, 2006
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Katsumi Nakamura
  • Patent number: 7049187
    Abstract: When an oxidation treatment for regenerating a gate insulating film 6 is performed after forming gate electrodes 7A of a polymetal structure in which a WNx film and a W film are stacked on a polysilicon film, a wafer 1 is heated and cooled under conditions for reducing a W oxide 27 on the sidewall of each gate electrode 7A. As a result, the amount of the W oxide 27 to be deposited on the surface of the wafer 1 is reduced.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: May 23, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Naoki Yamamoto, Hiroyuki Uchiyama, Norio Suzuki, Eisuke Nishitani, Shin'ichiro Kimura, Kazuyuki Hozawa
  • Patent number: 7049193
    Abstract: A process for fabricating a semiconductor structure, wherein the semiconductor structure includes a core region and a periphery region. The core region includes a plurality of transistors and the periphery region includes a plurality of transistors. The process includes depositing a middle-of-line liner using plasma enhanced chemical vapor deposition overlying the semiconductor structure. By using a plasma enhanced chemical vapor deposition the amount of MOL liner deposited in the core region and the periphery region can be controlled depending on the distances between transistors in the core region and periphery region.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: May 23, 2006
    Assignee: Infineon Technologies AG
    Inventors: Michael Maldei, Jinhwan Lee, Guenter Gerstmeier, Brian Cousineau, Jon S. Berry, II, Steven M. Baker, Malati Hedge
  • Patent number: 7045405
    Abstract: Semiconductor processing methods of forming integrated circuitry are described. In one embodiment, memory circuitry and peripheral circuitry are formed over a substrate. The peripheral circuitry comprises first and second type MOS transistors. Second type halo implants are conducted into the first type MOS transistors in less than all of the peripheral MOS transistors of the first type. In another embodiment, a plurality of n-type transistor devices are formed over a substrate and comprise memory array circuitry and peripheral circuitry. At least some of the individual peripheral circuitry n-type transistor devices are partially masked, and a halo implant is conducted for unmasked portions of the partially masked peripheral circuitry n-type transistor devices. In yet another embodiment, at least a portion of only one of the source and drain regions is masked, and at least a portion of the other of the source and drains regions is exposed for at least some of the peripheral circuitry n-type transistor devices.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: May 16, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 7037847
    Abstract: The fabrication of the read head sensor components where chemical mechanical polishing (CMP) stop layer is deposited above the sensor layers, a first reactive ion etch (RIE) layer and a second RIE layer are deposited, where the second RIE layer is etchable with a different ion species than the first RIE layer. A stencil layer is then deposited and patterned to create an etching stencil having the desired magnetic read track width of the sensor. An RIE step is then conducted in which the second RIE layer is etched. An RIE step for the first RIE layer is then conducted with a different ion species. Thereafter, the sensor layers are milled where the remaining portions of the first and second RIE layers act as a milling mask. A CMP assisted liftoff step is then conducted in which the remaining portions of the ion milling mask are removed.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: May 2, 2006
    Assignee: Hitachi Global Storage Technologies Netherlands, B.V.
    Inventors: Quang Le, Sue Siyang Zhang
  • Patent number: 7037775
    Abstract: A process for forming active transistors for a semiconductor memory device by the steps of: forming transistor gates having generally vertical sidewalls in a memory array section and in periphery section; implanting a first type of conductive dopants into exposed silicon defined as active area regions of the transistor gates; forming temporary oxide spacers on the generally vertical sidewalls of the transistor gates; after the step of forming temporary spacers, implanting a second type of conductive dopants into the exposed silicon regions to form source/drain regions of the active transistors; after the step of implanting a second type of conductive dopants, growing an epitaxial silicon over exposed silicon regions; removing the temporary oxide spacers; and forming permanent nitride spacers on the generally vertical sidewalls of the transistor gates.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: May 2, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Chih-Chen Cho, Er-Xuan Ping
  • Patent number: 7034350
    Abstract: Capacitors include an integrated circuit (semiconductor) substrate and an interlayer dielectric disposed on the integrated circuit substrate and including a metal plug therein. A lower electrode is disposed on the interlayer dielectric and contacting the metal plug. The lower electrode includes a cavity therein and a buried layer in the cavity. The buried layer is an oxygen absorbing material. A dielectric layer disposed on the lower electrode and an upper electrode is disposed on the dielectric layer. The lower electrode may be a noble metal layer. The buried layer may fill in the cavity and may not contain oxygen (O2) when initially formed.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: April 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-jin Chung, Wan-don Kim, Cha-young Yoo, Kwang-hee Lee, Han-jin Lim, Jin-il Lee
  • Patent number: 7030012
    Abstract: An integrated circuit device including at least one semiconductor memory array region and logic circuits including a support region is formed by the following steps. Form a sacrificial polysilicon layer over the array region. Form a blanket gate oxide layer over the device. Form a thick deposit of polysilicon in both the array region where word lines are located and in the support region where the logic circuits are located. Remove the thick polysilicon layer, the gate oxide layer and the sacrificial polysilicon layer only in the array region. Then deposit a thin polysilicon layer in both the array region and support regions. Next deposit a metallic conductor coating including at least an elemental metal layer portion over the thin polysilicon layer. Then form word lines and sate electrodes in the array region and support region respectively.
    Type: Grant
    Filed: March 10, 2004
    Date of Patent: April 18, 2006
    Assignee: International Business Machines Corporation
    Inventors: Ramachandra Divakaruni, Oleg Gluschenkov, Oh-Jung Kwon, Rajeev Malik
  • Patent number: 7026196
    Abstract: A method for forming a field effect transistor includes: forming a conductive region on an isolation layer formed on a substrate, and a cap dielectric layer on the conductive region; forming a sacrificial dielectric layer over the isolation layer and the cap dielectric layer, and on sidewalls of the conductive region; removing a portion of the sacrificial dielectric layer on the cap dielectric layer; removing the cap dielectric layer; removing remaining portions of the sacrificial dielectric layer; forming a gate on the conductive region; and forming source/drain (S/D) regions within the conductive region and adjacent to the gate. A field effect transistor includes a conductive region over an isolation layer formed on a substrate, the conductive region being substantially without undercut at the region within the isolation layer beneath the conductive region; a gate on the conductive region; and S/D regions within the conductive region and adjacent to the gate.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: April 11, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chuan-Ping Hou, Jhi-Cherng Lu, Kuang-Hsin Chen, Hsun-Chih Tsao
  • Patent number: 7026207
    Abstract: A method of filling a bit line contact via. The method includes providing a substrate having a device region and periphery region, the device region having a transistor, having a gate electrode, drain region, and source region, on the substrate, forming a dielectric layer overlying the substrate, the dielectric layer having a bit line contact via exposing the drain region, and periphery contact via exposing the periphery region, forming a doped conductive layer, lower than the dielectric layer, overlying the drain region, conformally forming a barrier layer overlying the dielectric layer, doped conductive layer, and periphery region, and forming a first conductive layer filling the bit line contact via and periphery contact via.
    Type: Grant
    Filed: November 18, 2003
    Date of Patent: April 11, 2006
    Assignee: Nanya Technology Corporation
    Inventors: Tzu-Ching Tsai, Yi-Nan Chen
  • Patent number: 7018890
    Abstract: The non-volatile semiconductor memory device has a booster including a capacitor, and a storage circuit including a storage element. The capacitor has a lower electrode, a capacitor capacitance insulating film and an upper electrode. The lower electrode of the capacitor is shaped to have an increased surface area.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: March 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Nobuyuki Tamura
  • Patent number: 7015089
    Abstract: An improved method of patterning resist protective dielectric layer and preferably protective silicon dioxide layer is described. The method consists of two sequential etching steps, the first one being a timed plasma etching process and the second one being a timed wet etching process. Plasma etching is used to remove approximately 70%–90% of the RPO film thickness and wet etching is used to remove the remaining 10%–30% of the film thickness. The two-step etching process achieves superior dimensional control, a non-undercut profile under the resist mask and prevents resist mask peeling from failure of adhesion at the mask/RPO film interface. The improved method has wide applications wherever and whenever RPO film is used in the process flow for fabricating semiconductor devices.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: March 21, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jyh-Shiou Hsu, Pin-Yi Hsin, Chuan-Chieh Huang
  • Patent number: 7012294
    Abstract: The invention encompasses a method of forming a silicon nitride layer. A substrate is provided which comprises a first mass and a second mass. The first mass comprises silicon and the second mass comprises silicon oxide. A sacrificial layer is formed over the first mass. While the sacrificial layer is over the first mass, a nitrogen-containing material is formed across the second mass. After the nitrogen-containing material is formed, the sacrificial layer is removed. Subsequently, a silicon nitride layer is formed to extend across the first and second masses, with the silicon nitride layer being over the nitrogen-containing material. Also, a conductivity-enhancing dopant is provided within the first mass. The invention also pertains to methods of forming capacitor constructions.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: March 14, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Er-Xuan Ping, Zhiping Yin
  • Patent number: 6998674
    Abstract: The gate tunnel leakage current is increased in the up-to-date process, so that it is necessary to reduce the gate tunnel leakage current in the LSI which is driven by a battery for use in a cellular phone and which needs to be in a standby mode at a low leakage current. In a semiconductor integrated circuit device, the ground source electrode lines of logic and memory circuits are kept at a ground potential in an active mode, and are kept at a voltage higher than the ground potential in an unselected standby mode. The gate tunnel leakage current can be reduced without destroying data.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: February 14, 2006
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Kenichi Osada, Koichiro Ishibashi, Yoshikazu Saitoh, Akio Nishida, Masaru Nakamichi, Naoki Kitai
  • Patent number: 6995418
    Abstract: The invention relates to an integrated semiconductor memory with at least one memory cell having at least one transistor which forms an inversion channel in the switched-on state. The transistor comprises a structure element having a first source/drain region, a second source/drain region and a region arranged between the first and the second source/drain region, the structure element is insulated from a semiconductor substrate by an insulation layer, a gate dielectric being arranged on the structure element and a word line being arranged on the gate dielectric.
    Type: Grant
    Filed: May 7, 2004
    Date of Patent: February 7, 2006
    Assignee: Infineon Technologies, AG
    Inventor: Andreas Spitzer
  • Patent number: 6987042
    Abstract: A method of forming collar isolation for a trench storage memory cell structure is provided in which amorphous Si (a:Si) and silicon germanium (SiGe) are first formed into a trench structure. An etching process that is selective to a:Si as compared to SiGe is employed in defining the regions in which the collar isolation will be formed. The selective etching process employed in the present invention is a wet etch process that includes etching with HF, rinsing, etching with NH4OH, rinsing, and drying with a monohydric alcohol such as isopropanol. The sequence of NH4OH etching and rinsing may be repeated any number of times. The conditions used in the selective etching process of the present invention are capable of etching a:Si at a faster rate than SiGe.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: January 17, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jochen Beintner, Naim Moumen, Porshia S. Wrschka
  • Patent number: 6987041
    Abstract: A gate insulating film is formed on the principal surface of a semiconductor substrate. A silicon film is formed on the gate insulating film. Impurities are doped in the silicon film. In this case, impurities are doped into the silicon film to make a region of the silicon film in the memory cell area have a first impurity concentration and to make a region of the silicon film in the logic circuit area have a second impurity concentration lower than the first impurity concentration. The doped silicon film is patterned. In this case, the silicon film is patterned to leave word lines having the first impurity concentration and serving as gate electrodes in the memory cell area and to leave gate electrodes having the second impurity concentration in the logic circuit area.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: January 17, 2006
    Assignee: Fujitsu Limited
    Inventor: Narumi Ohkawa
  • Patent number: 6987044
    Abstract: A method for forming a volatile memory structure. A buried trench capacitor in each of a pair of neighboring trenches formed in a substrate. An asymmetric collar insulating layer is formed over an upper portion of the sidewall of each trench and has a high and a low level portions. A conductive layer is formed overlying the buried trench capacitor and below the surface of the substrate. The high level portion is adjacent to the substrate between the neighboring trenches and the low level portion is covered by the conductive layer. A dielectric layer is formed overlying the conductive layer. Two access transistors are formed on the substrate outside of the pair of the neighboring trenches, respectively, which have source/drain regions electrically connecting to the conductive layer. A volatile memory structure is also disclosed.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: January 17, 2006
    Assignee: ProMOS Technologies Inc.
    Inventors: Shih-Lung Chen, Yueh-Chuan Lee
  • Patent number: 6982199
    Abstract: A semiconductor device with a bitline structure has a stud type capping layer. A method of fabricating the same achieves sufficient process margins and reduces parasitic capacitance. The device may include an insulating film formed on a semiconductor substrate and having a bitline contact and a groove-shaped bitline pattern, a bitline formed on the bitline contact and on a portion of the bitline pattern and that is surrounded by the insulating film, and a bitline capping layer formed on the bitline within the bitline pattern and the insulating film that protrudes from the insulating film. A protruded portion of the bitline capping layer is wider than the width of the bitline.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: January 3, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mun-Mo Jeong, Chang-Huhn Lee, Makoto Yoshida