Using Same Conductivity-type Dopant Patents (Class 438/307)
  • Publication number: 20040266124
    Abstract: In one embodiment of the invention, source and drain regions are formed as well as source and drain contact regions. Thereafter source and drain extension regions are formed. In another embodiment, elevated source and drain regions are formed as well as source and drain extension regions. Thereafter source and drain contact regions are formed at a temperature up to about 600° C. and an annealing time of up to about one minute.
    Type: Application
    Filed: July 19, 2004
    Publication date: December 30, 2004
    Inventors: Ronnen A. Roy, Cyril Cabral, Christian Lavoie, Kam-Leung Lee
  • Publication number: 20040262680
    Abstract: A lateral CMOS-compatible RF-DMOS transistor (RFLDMOST) with low ‘on’ resistance, characterised in that disposed in the region of the drift space (20) which is between the highly doped drain region (5) and the control gate (9) and above the low doped drain region LDDR (22, 26) of the transistor is a doping zone (24) which is shallow in comparison with the penetration depth of the source/drain region (3, 5), of inverted conductivity type to the LDDR (22, 26) (hereinafter referred to as the inversion zone) which has a surface area-related nett doping which is lower than the nett doping of the LDDR (22, 26) and does not exceed a nett doping of 8E12 At/cm2.
    Type: Application
    Filed: August 16, 2004
    Publication date: December 30, 2004
    Inventors: Karl-Ernst Ehwald, Holger Rucker, Bernd Heinemann
  • Patent number: 6830978
    Abstract: On a semiconductor substrate having a gate electrode and an LDD layer formed thereon, an SiN film to be a silicide block is formed. An opening communicating with the LDD layer is provided for the SiN film. Impurities are introduced into the LDD layer through the opening to form a source/drain layer, and the surface thereof is silicided to form a silicide film. Next, an interlayer insulation film of SiO2 is formed and then etched under a condition of an etching rate of SiO2 higher than that of SiN to form a contact hole reaching the LDD layer from the upper surface of the interlayer insulation film via the opening.
    Type: Grant
    Filed: August 20, 2003
    Date of Patent: December 14, 2004
    Assignee: Fujitsu Limited
    Inventors: Junichi Ariyoshi, Satoshi Torii
  • Publication number: 20040248370
    Abstract: A method of manufacturing a semiconductor device, such as a double-diffused metal oxide semiconductor (DMOS) transistor, where a first layer may be formed on a semiconductor substrate, with isolation trenches formed in the first layer and semiconductor substrate, and with the trenches being filled with an isolation layer. A second layer may be formed on the first layer and semiconductor substrate, and a plurality of drain trenches may be formed therein. A pair of plug-type drains may be formed in the trenches, to be separated from the isolation layer by a dielectric spacer. Gates and source areas may be formed on a resultant structure containing the plug-type drains. Accordingly, current may be increased with a reduction in drain-source on resistance, and an area of the isolation layer can be reduced, as compared to an existing isolation layer, potentially resulting in a reduction in chip area.
    Type: Application
    Filed: July 12, 2004
    Publication date: December 9, 2004
    Inventors: Hwa-Sook Shin, Soo-Cheol Lee
  • Patent number: 6828204
    Abstract: A method and system can compensate for anneal non-uniformities by implanting dopant in a pattern to provide higher dopant concentrations where the anneal non-uniformities result in lower active dopant concentrations. A pattern for the anneal non-uniformities may be determined by annealing a wafer having a uniform dopant distribution and measuring properties of the wafer after annealing, e.g., by obtaining a sheet resistance map of the wafer. In one embodiment, the non-uniformities may be measured by measuring temperature variations during annealing.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: December 7, 2004
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Anthony Renau
  • Patent number: 6818516
    Abstract: A method of forming a gate structure in an integrated circuit on a substrate. A high k layer is formed on the substrate, and a gate electrode layer is formed on the high k layer. The gate electrode layer is the patterned. LDD regions are formed using an ion implantation process, thereby creating damaged portions of the high k layer. A first portion of the damaged portions of the high k layer are removed, thereby defining a gate structure, and leaving remaining portions of the damaged portions of the high k layer. Sidewall spacers are formed adjacent the gate structure. Source/drain regions are formed using an ion implantation process, thereby further damaging the remaining portions of the damaged portions of the high k layer. The remaining portions of the damaged portions of the high k layer are then removed.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: November 16, 2004
    Assignee: LSI Logic Corporation
    Inventors: Wai Lo, Hong Lin, Shiqun Gu, James R. B. Elmer
  • Publication number: 20040224472
    Abstract: A high voltage MOS transistor is provided that is compatible with low-voltage, sub-micron CMOS and BiCMOS processes. The high voltage transistor of the present invention has dopants that are implanted into the substrate prior to formation of the epitaxial layer. The implanted dopants diffuse into the epitaxial layer from the substrate during the formation of the epitaxial layer and subsequent heating steps. The implanted dopants increase the doping concentration in a lower portion of the epitaxial layer. The implanted dopants may diffuse father into the epitaxial layer than dopants in the buried layer forming an up-retro well that prevents vertical punch-through at high operating voltages for thin epitaxial layers. In addition, the doping concentration below the gate may be light so that the threshold voltage of the transistor is low.
    Type: Application
    Filed: June 1, 2004
    Publication date: November 11, 2004
    Applicant: Linear Technology Corporation
    Inventor: Francois Hebert
  • Publication number: 20040217417
    Abstract: In a high voltage device and a method for fabricating the same, a semiconductor substrate includes first, second, and third regions, the second and third regions neighboring the first region with boundaries. The first and second drift regions are respectively formed in the second and third regions at a first depth. Insulating films are formed at a second depth less than the first depth, having a predetermined width respectively based on the boundary between the first and second regions and the boundary between the first and third regions. A channel ion injection region is formed with a variable depth along a surface of the semiconductor substrate belonging to the first region and the insulating films. A gate insulating film is formed on the channel ion injection region, partially overlapping the insulating films at both sides around the channel ion injection region.
    Type: Application
    Filed: May 28, 2004
    Publication date: November 4, 2004
    Applicant: Hynix Semiconductor Inc.
    Inventor: Da Soon Lee
  • Publication number: 20040212033
    Abstract: A semiconductor device has a gate electrode formed on a P type semiconductor substrate via gate oxide films. A first low concentration (LN type) drain region is made adjacent to one end of the gate electrode. A second low concentration (SLN type) drain region is formed in the first low concentration drain region so that the second low concentration drain region is very close to the outer boundary of the second low concentration drain region and has at least a higher impurity concentration than the first low concentration drain region. A high concentration (N+ type) source region is formed adjacent to the other end of said gate electrode, and a high concentration (N+ type) drain region is formed in the second low concentration drain region having the designated space from one end of the gate electrode.
    Type: Application
    Filed: May 24, 2004
    Publication date: October 28, 2004
    Applicant: Sanyo Electric Co., Ltd.
    Inventors: Shuichi Kikuchi, Eiji Nishibe
  • Patent number: 6806155
    Abstract: A method and system for providing a semiconductor device are described. The method and system include providing a plurality of gate stacks and a first source drain halo implant. The first source and drain halo implant uses the plurality of gate stacks as a mask. The method and system also include providing a lightly doped source and drain implant and a N+ source and drain implant. The source connection implant is for connecting a portion of the plurality of sources. The second source and drain implant uses the plurality of gate stacks as a mask. Moreover, CoSi formed on the source region provides a lower resistence for lines connecting the sources, allowing a lower dose to be used for the N+ source and drain implant.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: October 19, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kelwin Ko, Chi Chang
  • Patent number: 6803287
    Abstract: In a semiconductor device (10), plural diffusion layer areas (2, 3) are formed so that the impurity concentration of the diffusion layer area (2) is set to be higher than that of the diffusion layer area (3), and a first contact wire (4) connected to the diffusion layer area (2) having the higher impurity concentration is set to be larger in sectional area than a second contact wire (5) connected to the diffusion layer area (3) having the lower impurity concentration.
    Type: Grant
    Filed: April 28, 2003
    Date of Patent: October 12, 2004
    Assignee: NEC Corporation
    Inventor: Kazutaka Manabe
  • Patent number: 6800536
    Abstract: A semiconductor device includes a T-shaped gate on a gate insulation film, wherein the T-shaped gate includes a lower polycrystal layer containing Si and Ge and an upper polycrystal layer of polysilicon.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: October 5, 2004
    Assignee: Fujitsu Limited
    Inventor: Hajime Kurata
  • Patent number: 6773971
    Abstract: There is provided a method by which lightly doped drain (LDD) regions can be formed easily and at good yields in source/drain regions in thin film transistors possessing gate electrodes covered with an oxide covering. A lightly doped drain (LDD) region is formed by introducing an impurity into an island-shaped silicon film in a self-aligning manner, with a gate electrode serving as a mask. First, low-concentration impurity regions are formed in the island-shaped silicon film by using rotation-tilt ion implantation to effect ion doping from an oblique direction relative to the substrate. Low-concentration impurity regions are also formed below the gate electrode at this time. After that, an impurity at a high concentration is introduced normally to the substrate, so forming high-concentration impurity regions. In the above process, a low-concentration impurity region remains below the gate electrode and constitutes a lightly doped drain region.
    Type: Grant
    Filed: September 3, 1997
    Date of Patent: August 10, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hongyong Zhang, Yasuhiko Takemura, Toshimitsu Konuma, Hideto Ohnuma, Naoaki Yamaguchi, Hideomi Suzawa, Hideki Uochi
  • Patent number: 6764912
    Abstract: The formation of metal silicides in silicon nitride spacers on a gate electrode causes bridging between a gate electrode and the source and drain regions of a semiconductor device. The bridging is prevented by forming a thin layer of silicon oxide on the silicon nitride spacers prior to forming the metal silicide layers on the device.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: John Clayton Foster, Eric N. Paton, Matthew S. Buynoski, Qi Xiang, Paul R. Besser, Paul L. King
  • Patent number: 6762105
    Abstract: The method comprising sequentially forming a first oxide film, a first nitride film, a second oxide film and a second nitride film on a semiconductor substrate; forming a first mask on the second nitride film; etching the second nitride film and the second oxide film forming a first spacer; sequentially forming a gate insulation film and a gate conductor; wet etching the remaining second nitride film, second oxide film, first nitride film and first spacer; performing LDD implantation on the substrate to form an LDD region; forming a second spacer; performing source/drain implantation to form source/drain regions; removing the remaining first oxide film; and forming a salicide region in the gate conductor and the source/drain regions.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: July 13, 2004
    Assignee: Dongbu ELectronics Co., Ltd.
    Inventor: Jeong Ho Park
  • Patent number: 6750159
    Abstract: An object of the present invention is to provide a semiconductor apparatus and a method of manufacturing the same, in which dispersion of a threshold voltage Vth of a transistor at every transistor is reduced to remove generation of fixed charges in a gate insulation film and a surface level to stabilize the operation of the semiconductor apparatus. A semiconductor apparatus having a MIS transistor (1), wherein a gate electrode (4) of said MIS transistor (1), which mainly contributes to the operation of a circuit, is continuously formed to a position above a bypass film (8) made of an insulation film through which a leak current is able to easily flow as compared with a gate insulation film (7) of said MIS transistor (1) under the same voltage.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: June 15, 2004
    Assignee: Sony Corporation
    Inventor: Hideshi Abe
  • Publication number: 20040110351
    Abstract: A method of manufacturing a semiconductor device comprises implanting at an angle of about 20 to about 70 degrees a first halo dose of a dopant about a first portion of a perimeter of a source extension implant or a drain extension implant, wherein the first portion comprises a near channel region; and implanting at an angle of about 0 to about 20 degrees a second halo dose of the dopant about a second portion of the perimeter of the source extension implant or the drain extension implant, wherein the second portion is substantially free of the first portion, and wherein the angles are measured with respect to a vertical axis through the semiconductor device.
    Type: Application
    Filed: December 5, 2002
    Publication date: June 10, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Shreesh Narasimha
  • Publication number: 20040077149
    Abstract: A method and system can compensate for anneal non-uniformities by implanting dopant in a pattern to provide higher dopant concentrations where the anneal non-uniformities result in lower active dopant concentrations. A pattern for the anneal non-uniformities may be determined by annealing a wafer having a uniform dopant distribution and measuring properties of the wafer after annealing, e.g., by obtaining a sheet resistance map of the wafer. In one embodiment, the non-uniformities may be measured by measuring temperature variations during annealing.
    Type: Application
    Filed: October 16, 2002
    Publication date: April 22, 2004
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Anthony Renau
  • Patent number: 6723593
    Abstract: A deep submicron MOS transistor is formed with multiple control gates by forming side wall control gates adjacent to the gate oxide spacers over heavily-doped regions of the source and drain regions. The side wall control gates can be used to substantially increase the threshold voltage of the transistor.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: April 20, 2004
    Assignee: National Semiconductor Corporation
    Inventors: Gobi R. Padmanabhan, Visvamohan Yegnashankaran, Reda Razouk
  • Patent number: 6720228
    Abstract: A current mirror bias circuit for an RF amplifier transistor is modified whereby the reference transistor of the current mirror tracks hot carrier degradation in the RF transistor. Gate bias to the current mirror transistor is modified whereby the drain-to-gate voltage can be positive, and the lightly doped drain region in the lateral n-channel reference transistor is shortened and dopant concentration increased to increase the electric field of the reference transistor to provide the hot carrier injection degradation characteristics similar to the main transistor. Additionally, the gate length of the reference transistor can be shortened to effect the hot carrier injection degradation.
    Type: Grant
    Filed: October 18, 2000
    Date of Patent: April 13, 2004
    Assignee: Cree Microwave, Inc.
    Inventors: John F. Sevic, Francois Hebert
  • Patent number: 6720227
    Abstract: A method of forming source/drain regions in a semiconductor device is provided. In one illustrative embodiment, the method comprises forming a gate electrode above a semiconducting substrate, forming source/drain regions in the substrate adjacent the gate electrode by performing at least the following steps: performing two ion implantation processes to form source/drain extensions for the device and performing a third ion implantation process to further form source/drain regions for the device. Various N-type and P-type dopant atoms such as arsenic, phosphorous, boron and boron difluoride may be used with the present invention.
    Type: Grant
    Filed: January 29, 2002
    Date of Patent: April 13, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Daniel Kadosh, Jon D. Cheek, James F. Buller, Basab Bandyopadhyay
  • Patent number: 6716689
    Abstract: A MOS transistor having a T-shaped gate electrode and a method for fabricating the same are provided, wherein the MOS transistor includes a T-shaped gate electrode on a semiconductor substrate; an L-shaped lower spacer disposed at both sides of the gate electrode to cover a top surface of the semiconductor substrate; and low-, mid-, and high-concentration impurity regions formed in the semiconductor substrate of both sides of the gate electrode. The high-concentration impurity region is disposed in the semiconductor substrate next to the lower spacer and the mid-concentration impurity region is disposed between the high- and low-concentration impurity regions. A MOS transistor according to the present invention provides a decrease in a capacitance, a decrease in a channel length, and an increase in a cross-sectional area of the gate electrode. At the same time, the mid-concentration impurity region provides a decrease in a source/drain resistance Rsd.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: April 6, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Geum-Jong Bae, Nae-In Lee, Hwa-Sung Rhee, Sang-Su Kim, Jung-Il Lee
  • Patent number: 6713351
    Abstract: A double diffused field effect transistor and a method of forming the same is provided. The method begins by providing a substrate of a first conductivity type. Next, at least one dopant species, also of the first conductivity type, is introduced into a surface of the substrate so that the substrate has a nonuniform doping profile. An epitaxial layer of the first conductivity type is formed over the substrate and one or more body regions of a second conductivity type are formed within the epitaxial layer. A plurality of source regions of the first conductivity type are then formed within the body regions. Finally, a gate region is formed, which is adjacent to the body regions.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: March 30, 2004
    Assignee: General Semiconductor, Inc.
    Inventor: Richard A. Blanchard
  • Patent number: 6706605
    Abstract: A method of forming an integrated circuit transistor (80), comprising providing a semiconductor region (90) and forming a gate structure (92, 94) in a fixed position relative to the semiconductor region. The gate structure has a first sidewall (94a) and a second sidewall (94b). The method also comprises first, forming a first layer (96) adjacent the first sidewall and the second sidewall, and second, forming a second layer (98) adjacent the first layer. The method also comprises third, forming a third layer (100) adjacent the second layer, and fourth, forming a fourth layer (102) adjacent the third layer. The method also comprises fifth, implanting a first and second source/drain region (106a, 106b) in the semiconductor region and at a first distance laterally with respect to the gate structure, wherein a combined thickness of the first, second, third, and fourth layers determines the first distance.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: March 16, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Shashank S. Ekbote, Freidoon Mehrad
  • Publication number: 20040014294
    Abstract: The present invention relates to a method of forming a high voltage junction in a semiconductor device. The method includes forming a double diffused drain junction, and making amorphous the double diffused drain junction to a first depth by implanting an impurity having a high atomic weight than an impurity injected into the double diffused drain junction, implanting an impurity so that the concentration of the concentration of an impurity to a second depth lower than the first depth and then activating the impurities. Thus, the present invention can reduce the sheet resistance by prohibiting diffusion of an impurity, prohibit a channeling phenomenon by lowering the depth of the junction, and remove crystal defects by sufficiently activating an impurity and since a subsequent annealing process for activation can be performed at a high temperature, and thus improve reliability of a process and an electrical characteristic of the device.
    Type: Application
    Filed: December 6, 2002
    Publication date: January 22, 2004
    Applicant: Hynix Semiconductor Inc.
    Inventors: Jeong Hwan Park, Noh Yeal Kwak
  • Patent number: 6677206
    Abstract: A non-volatile memory device including a plurality of memory cells, each memory cell formed as MOS transistor with a source region, a drain region and a gate having sides formed therewith; and one or more dielectric spacers disposed on the sides of the gate. At least one memory cell is defined in an ON state and at least one memory cell is defined in an OFF state. The memory cells in the ON state comprise drain regions and source regions of the lightly diffused drain (LDD) type, characterized in that the at least one drain region and the at least one source region of the memory cells in the OFF state are formed by one or more high dopant regions. The memory cells in the OFF state consists of layers of silicide on top of one or more active regions defined as the source region, the drain region, and the gate.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: January 13, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Matteo Patelmo, Federico Pio
  • Patent number: 6660592
    Abstract: Embodiment of the present invention are directed to improving the performance of a DMOS transistor. A method of fabricating a DMOS transistor comprises providing a semiconductor substrate having a gate oxide and a trenched gate, and implanting first conductive dopants into a surface of the semiconductor substrate adjacent to the trenched gate to form a first doping region. An insulating layer is deposited over the semiconductor substrate; and selectively etching the insulating layer to form a source contact window over a central portion of the first doping region and to leave an insulator structure above the trenched gate. The source contact window of the insulating layer has an enlarged top portion which is larger in size than a bottom portion of the source contact window closer to the first doping region than the enlarged top portion. The enlarged top portion is typically bowl-shaped.
    Type: Grant
    Filed: May 29, 2002
    Date of Patent: December 9, 2003
    Assignee: Mosel Vitelic, Inc.
    Inventors: Chiao-Shun Chuang, Chien-Ping Chang, Mao-Song Tseng, Cheng-Tsung Ni
  • Patent number: 6660605
    Abstract: Methods are discussed for forming a transistor comprising a source/drain region having both a graded HDD portion and a sharp HDD portion in a semiconductor substrate. The method comprises a dual diffusion process, wherein a gate structure is provided over the semiconductor substrate having an offset spacer associated therewith. A first dopant material is implanted around the gate structure in the source/drain area to form a grade-HDD region in the substrate that is aligned to the offset spacer. A sidewall spacer is formed around the gate structure and covers the offset spacer. A second dopant material is then implanted in the source/drain area to form a source/drain region in the substrate aligned to the sidewall spacer, and the device is thermally processed in a first anneal. The sidewall spacer and the offset spacer are removed from the gate structure.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: December 9, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Kaiping Liu
  • Patent number: 6649982
    Abstract: Form a semiconductor device with dielectric, isolation structures in a top surface of a silicon semiconductor substrate, separating the substrate into emitter, NMOS and PMOS areas. Form a gate oxide layer above the isolation structures on the top surface of the silicon semiconductor substrate. Form a conductive polysilicon layer above the thin silicon oxide layer. Mask the NMOS and PMOS regions of the substrate with an emitter mask having a window over the emitter area of the substrate. Ion implant emitter dopant into a portion of the conductive polysilicon layer over the emitter area of the substrate through the window in the emitter mask. Strip the emitter mask. Anneal the substrate including the thin silicon oxide layer, and the polysilicon layer to drive the dopant into an emitter region in the emitter area in the substrate. Form doped source/drain regions and a base in the emitter area of the substrate.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: November 18, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yang Pan, Erzhuang Liu
  • Patent number: 6599819
    Abstract: A gate electrode is formed in a partial area of the surface of a semiconductor substrate. Impurities of a first conductive type are implanted into the semiconductor substrate in areas on both sides of the gate electrode, by using the gate electrode as a mask. The implanted impurities are activated by applying a laser beam to the surface of the semiconductor substrate. Impurities to be used for threshold voltage control are implanted into the surface layer of the semiconductor substrate under the gate electrode, after the laser beam is applied. The impurities for threshold voltage control are activated by heating the semiconductor substrate. A semiconductor device is provided having a low parasitic resistance of source/drain regions and a desired threshold voltage hard to be lowered.
    Type: Grant
    Filed: May 23, 2000
    Date of Patent: July 29, 2003
    Assignee: Fujitsu Limited
    Inventor: Kenichi Goto
  • Publication number: 20030124810
    Abstract: In a low-pass filter for a phase locked loop (PLL) circuit, a capacitor formed by an N-type substrate, a P-type region formed on the N-type substrate, a thick oxide formed over the P-type region, a P+ gate electrode formed over the thick oxide and coupled to a first voltage supply line, and P+ pick-up terminals formed in the P-type region adjacent the gate electrode and coupled to a second voltage supply line, whereby a gate-to-substrate voltage is maintained at less than zero volts to maintain a stable control voltage for the PLL.
    Type: Application
    Filed: December 27, 2001
    Publication date: July 3, 2003
    Applicant: Broadcom Corporation
    Inventors: Derek Tam, Jasmine Cheng, Jungwoo Song, Takayuki Hayashi
  • Patent number: 6586303
    Abstract: A patterned photoresist layer is coated onto a semiconductor substrate. Then a doped region is formed in the semiconductor substrate not covered by the patterned photoresist layer. In addition, a semiconductor process is performed to trim the patterned photoresist layer, and a lightly doped drain (LDD) region is formed in the region of the semiconductor substrate next to the doped region. The doped region and the LDD region constitute the buried bit lines of the mask ROM. Finally, the photoresist layer is stripped.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: July 1, 2003
    Assignee: United Microelectronics Corp.
    Inventor: Yi-Ting Wu
  • Patent number: 6586332
    Abstract: A method for blocking formation of a reacted metal layer on a structure in an integrated circuit. The integrated circuit has a source region, a drain region, a gate, an isolation area formed of a material, and a protective layer formed of substantially the same material as the isolation area. The protective layer overlies at least the source region and the drain region. The method is accomplished while reducing an amount of the material of the isolation area that is removed when the material of the protective layer is removed. A blocking layer is deposited on the integrated circuit. The blocking layer is formed of a material that is substantially different from the material of the isolation area and the protective layer. The blocking layer is patterned to selectively cover portions of the blocking layers that overlie at least the structure and selectively expose portions of the blocking layer that overlie at least the source region, the drain region, and the gate.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: July 1, 2003
    Assignee: LSI Logic Corporation
    Inventor: Ming-Yi Lee
  • Patent number: 6583016
    Abstract: Semiconductor devices with improved transistor performance are fabricated by ion-implanting a dopant into the oxide liner to prevent or substantially reduce dopant out-diffusion from the shallow source/drain extensions. Embodiments include ion implanting a P-type dopant, such as B or BF2, using the gate electrode as a mask, to form shallow source/drain extensions, depositing a conformal oxide liner, and ion implanting the P-type impurity into the oxide liner at substantially the same dopant concentration as in the shallow source/drain extensions. Subsequent processing includes depositing a spacer layer, etching to form sidewall spacers, ion implanting to form deep moderate or heavy source/drain implants and activation annealing.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: June 24, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andy C. Wei, Mark B. Fuselier, Ping-Chin Yeh
  • Patent number: 6582995
    Abstract: Within a method for fabricating a microelectronic fabrication comprising a topographic microelectronic structure formed over a substrate, there is implanted, while employing a first ion implant method and while masking a portion of the substrate adjacent the topographic microelectronic structure but not masking the topographic microelectronic structure, the topographic microelectronic structure to form an ion implanted topographic microelectronic structure without implanting the substrate. There is also implanted, while employing a second ion implant method, the portion of the substrate adjacent the topographic microelectronic substrate to form therein an ion implant structure. The method is particularly useful for fabricating source/drain regions with shallow junctions within field effect transistor (FET) devices.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: June 24, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ting-Hua Hsieh, Hung-Der Su, Carlos H. Diaz
  • Patent number: 6576521
    Abstract: A NMOSFET semiconductor device is formed having an LDD structure by simultaneous co-implantation of arsenic and phosphorous to form an N− layer. The co-implantation is performed subsequent to the formation of the gate structure and a thin (100 Å-300 Å) gate spacer but prior to the implantation of a highly doped N+ source/drain.
    Type: Grant
    Filed: April 7, 1998
    Date of Patent: June 10, 2003
    Assignee: Agere Systems Inc.
    Inventors: Samir Chaudhry, Sundar S. Chetlur, Hem M. Vaidya
  • Publication number: 20030100173
    Abstract: A gate structure (4), an LDD region (6) and a sidewall (7) are provided in this order. Arsenic ions (8) are thereafter implanted into the upper surface of a silicon substrate (1) by tilted implantation. The next step is annealing for forming an MDD region (9) in the upper surface of the silicon substrate (1). The MDD region (9) and the gate structure (4) do not overlap one another in plan view. Further, the MDD region (9) formed into a depth shallower than that of the LDD region (6) is higher in concentration than the LDD region (6). Thereafter a source/drain region (11) higher in concentration than the MDD region (9) is provided by vertical implantation into a depth greater than that of the LDD region (6).
    Type: Application
    Filed: September 18, 2002
    Publication date: May 29, 2003
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventors: Masayoshi Shirahata, Yukio Nishida
  • Patent number: 6570233
    Abstract: The invention provides a technology for reducing the direct contact resistance and for reducing the junction leak while maintaining the punch through margin. A semiconductor integrated circuit device is provided which comprises: a substrate; a transistor formed on the substrate, which comprises a source, a drain and a gate which controls a current flowing from said source to said drain; and a contact plug being electrically connected to at least one of the source and drain and made of a conductive material including a dopant. The contact plug is formed of at least a first layer and a second layer. The first layer contacts with one of the source and drain and is made of said material including the dopant of a first concentration. The second layer is formed of a layer of said material including the dopant of a second concentration, which is lower than the second concentration.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: May 27, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Akira Matsumura
  • Publication number: 20030096485
    Abstract: Embodiment of the present invention are directed to improving the performance of a DMOS transistor. A method of fabricating a DMOS transistor comprises providing a semiconductor substrate having a gate oxide and a trenched gate, and implanting first conductive dopants into a surface of the semiconductor substrate adjacent to the trenched gate to form a first doping region. An insulating layer is deposited over the semiconductor substrate; and selectively etching the insulating layer to form a source contact window over a central portion of the first doping region and to leave an insulator structure above the trenched gate. The source contact window of the insulating layer has an enlarged top portion which is larger in size than a bottom portion of the source contact window closer to the first doping region than the enlarged top portion. The enlarged top portion is typically bowl-shaped.
    Type: Application
    Filed: May 29, 2002
    Publication date: May 22, 2003
    Applicant: MOSEL VITELIC, INC.
    Inventors: Chiao-Shun Chuang, Chien-Ping Chang, Mao-Song Tseng, Cheng-Tsung Ni
  • Patent number: 6566216
    Abstract: To provide a semiconductor device and a process for manufacturing the same which is capable of suppressing short channel effect and preventing a current from leaking between a contact and a silicon substrate. The semiconductor device of the present invention comprises a silicon substrate on which a source/drain area (3 in FIG. 1), a silicon oxide layer (4 in FIG. 1) and a silicon nitride layer (5 in FIG. 1) are successively formed in this order, and a trench which extend through said layers to split the source/drain area. A columnar gate electrode (9 in FIG. 1) is formed within the trench in such a manner that it is spaced from the inner wall of the trench and a lightly doped drain (LDD) area (10 in FIG. 1) is formed at an area of the bottom of the trench in which no gate electrode is disposed. In such a structure, the short channel effect which occurs in association with reduction in the gate length is suppressed.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: May 20, 2003
    Assignee: NEC Corporation
    Inventor: Toshifumi Takahashi
  • Patent number: 6559017
    Abstract: A method of using amorphous carbon as spacer material in a disposable spacer process can include forming amorphous carbon spacers at lateral side walls of a gate structure over a substrate, implanting dopants in the substrate to form source and drain regions, ashing away the amorphous carbon spacers, and implanting dopants to form shallow structures in the substrate.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: May 6, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David E. Brown, Philip A. Fisher, Richard J. Huang, Richard C. Nguyen, Cyrus E. Tabery
  • Patent number: 6555438
    Abstract: A method for fabricating MOSFETs with a recessed self-aligned silicide contact and extended source/drain junctions is described. A gate structure having a gate insulating layer, a first conductive layer and a first dielectric layer is formed on a substrate. A thermal oxide layer is formed on the substrate and on sidewalls of the first conductive layer. The first dielectric layer is removed. Extended source and drain junctions are formed in the substrate under a region covered by the first thermal oxide layer. Sidewall spacers are formed on the sidewalls of the gate structure to protect the extended source and drain junctions therebeneath from being silicided. The second thermal oxide layer is removed to form recessed regions on a substrate surface. A first metal layer is formed on the substrate after the first dielectric layer is removed. Source/drain regions under the recessed regions are formed.
    Type: Grant
    Filed: March 23, 1999
    Date of Patent: April 29, 2003
    Inventor: Shye-Lin Wu
  • Patent number: 6551910
    Abstract: In a method of manufacturing a solid-state image pickup device having a virtual gate structure, in a process of forming a profile of a sensor portion, when ion implantation to form a p+ type layer at a substrate surface side is carried out while the ion implantation direction is tilted with respect to the substrate surface, the ion implantation is divisively carried out at plural times and from multiple ion implantation directions so that the total dose amount is matched, whereby impurities can be implanted into any area of the sensor portion and thus no impurities-unformed area occurs.
    Type: Grant
    Filed: April 18, 2001
    Date of Patent: April 22, 2003
    Assignee: Sony Corporation
    Inventor: Masanori Ohashi
  • Patent number: 6541341
    Abstract: A method for fabricating a MOSFET includes a step of forming an isolation layer on an isolation region of a substrate, to thereby define an active region ion implanting As and P into the active region, and a step of forming a gate on the active region. An ion implanting step of low-concentration impurity using the gate as a mask is performed to form a low-concentration ion-implanted region in a predetermined portion of the substrate which is placed on the right and left sides of the gate. A sidewall spacer on the sides of the gate is formed, and thereafter, and ion implanting high-concentration impurity into the substrate is performed.
    Type: Grant
    Filed: July 5, 1996
    Date of Patent: April 1, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jeong-Hwan Son, Sang-Don Lee
  • Patent number: 6537884
    Abstract: A semiconductor device having an offset-gate structure, which can achieve a release of an electric field concentration and a lowering a transistor resistance at the same time. A semiconductor device has the offset-gate structure in which an offset region, at which a gate portion is not formed, is formed between an end of the gate portion and a drain on a silicon substrate. Surfaces of a source, the drain and a gate electrode of the gate portion are silicides to reduce a transistor resistance. Whereas a surface of the offset region formed between the gate portion and the drain does not include silicide. to prevent a potential of an end portion of the gate portion from being identical to a potential of the drain due to silicide. Therefore, it can achieve a release of an electric field concentration and a lowering a transistor resistance at the same time.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: March 25, 2003
    Assignee: Denso Corporation
    Inventors: Yukiaki Yogo, Shigemitsu Fukatsu
  • Patent number: 6528376
    Abstract: Within a method for fabricating a field effect transistor (FET) device within a microelectronic fabrication there is employed for forming a gate electrode from a blanket gate electrode material layer a self aligned two step pattering method. By employing the self aligned two step patterning method for forming the gate electrode, there may be formed within the field effect transistor (FET) device a source/drain region prior to forming interposed between the source/drain region and the gate electrode an additional ion implant structure while is formed while employing as a mask the gate electrode and a permanent spacer layer formed adjacent the gate electrode. By forming within the field effect transistor (FET) device the source/drain region prior to forming the additional ion implant structure, the additional ion implant structure is formed with enhanced structural stability, and thus the field effect transistor (FET) device is fabricated with enhanced performance.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: March 4, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jyh-Chyurn Guo
  • Patent number: 6524901
    Abstract: Methods for forming notched gates and semiconductor devices utilizing the notched gates are provided. The methods utilize the formation of a dummy gate on a substrate. The dummy gate is etched to form notches in the dummy gate, and sidewall spacers are formed on the sidewalls of the notched dummy gate. The dummy gate is removed, and a notched gate is formed. The methods allow the height and depth of the notches to be independently controlled, and transistors having shorter channel lengths are formed.
    Type: Grant
    Filed: June 20, 2002
    Date of Patent: February 25, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Jigish D. Trivedi
  • Patent number: 6521500
    Abstract: A thermal oxide film is formed on a silicon substrate, a polysilicon film is formed on the thermal oxide film, and further a patterned photoresist film is formed on the polysilicon. The polysilicon film and the thermal oxide film are etched using the photoresist film as a mask so as to form a gate electrode and a gate oxide film. The photoresist film is removed therefrom, and a thermal oxide film is formed in the circumference of the gate electrode, thereby to restore a constriction formed in the gate oxide film. A part of the thermal oxide film which corresponds to the gate electrode and another part thereof which corresponds to the semiconductor substrate are removed therefrom, and a side wall nitride film which adhere to the silicon substrate is formed on a side wall of the gate electrode. Thereafter, a source and drain diffusion layers corresponding to the gate electrode are formed on the silicon substrate, thereby to form metal wiring.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: February 18, 2003
    Assignee: NEC Corporation
    Inventor: Yoshiro Goto
  • Patent number: 6518138
    Abstract: An LDMOS transistor formed in an N-type substrate. A polysilicon gate is formed atop the N-type substrate. A P-type well is formed in the N-type substrate extending from the source side to under the polysilicon gate. A N+ source region is formed in the P-type well and adjacent to the polysilicon gate. A N+ drain region is formed in the N-type substrate and in the drain side of the polysilicon gate. Finally, an N-type drift region is formed between the N+ drain region and the polysilicon gate, wherein the N-type drift region does not extend to said polysilicon gate.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: February 11, 2003
    Assignee: Monolithic Power Systems, Inc.
    Inventor: Michael R. Hsing
  • Publication number: 20030027396
    Abstract: A high voltage MOSFET device (100) has an nwell region (113) with a p-top layer (108) of opposite conductivity formed to enhance device characteristics. The p-top layer is implanted through a thin gate oxide, and is being diffused into the silicon later in the process using the source/drain anneal process. There is no field oxide grown on the top of the extended drain region, except two islands of field oxide close to the source and drain diffusion regions. This eliminates any possibility of p-top to be consumed by the field oxide, and allows to have a shallow p-top with very controlled and predictable p-top for achieving low on-resistance with maintaining desired breakdown voltage.
    Type: Application
    Filed: July 31, 2001
    Publication date: February 6, 2003
    Applicant: Semiconductor Components Industries, LLC.
    Inventors: Mohamed Imam, Joe Fulton, Zia Hossain, Masami Tanaka, Taku Yamamoto, Yoshio Enosawa, Katsuya Yamazaki, Evgueniy N. Stefanov