Refilling Multiple Grooves Of Different Widths Or Depths Patents (Class 438/427)
  • Patent number: 6949801
    Abstract: A method and apparatus for forming shallow and deep isolation trenches in a substrate so that the shallow and deep isolation trenches are aligned without mis-registration. The method includes forming a plurality of shallow trenches, covering a portion of the plurality of shallow trenches, then etching the uncovered shallow trenches to create deeper trenches.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: September 27, 2005
    Assignee: Intel Corporation
    Inventors: Krishna Parat, Kiran Pangal, Allen Lu
  • Patent number: 6946359
    Abstract: A method of fabricating a trench isolation with high aspect ratio. The method comprises the steps of: providing a substrate with a trench; depositing a first isolation layer filling the trench by low pressure chemical vapor deposition; etching the first isolation layer so that its surface is lowered to the opening of the trench; depositing a second isolation layer to fill the trench without voids by high density plasma chemical vapor deposition and achieving global planarization by chemical-mechanical polishing then providing a rapidly annealing procedure. Accordingly, the present invention achieves void-free trench isolation with high aspect ratio.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: September 20, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Sheng-Wei Yang, Neng-Tai Shih, Wen-Sheng Liao, Chih-How Chang
  • Patent number: 6943088
    Abstract: In a trench isolation structure of a semiconductor device, oxide liners are formed within the trenches, wherein a non-oxidizable mask is employed during various oxidation steps, thereby creating different types of liner oxides and thus different types of corner rounding and thus mechanical stress. Therefore, for a specified type of circuit elements, the characteristics of the corresponding isolation trenches may be tailored to achieve an optimum device performance.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: September 13, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ralf van Bentum, Stephan Kruegel, Gert Burbach
  • Patent number: 6943409
    Abstract: A semiconductor device is formed in on a semiconductor substrate starting with a first step, which is to form a wide trench and a narrow trench in the substrate. Then form a first electrode in the narrow trench by depositing a first fill material of a first conductivity type over the device to fill the wide trench partially and to fill the narrow trench completely. Etch back the first fill material until completion of removal thereof from the wide trench. Form a second electrode in the wide trench by filling the wide trench with a second fill material of an opposite conductivity type. Anneal to drive dopant both from the first fill material of the first electrode into a first outdiffusion region in the substrate about the periphery of the narrow trench and from the second fill material of the second electrode into a second outdiffusion region in the substrate about the periphery of the wide trench.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: September 13, 2005
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Ramachandra Divakaruni, Carl J. Radens
  • Patent number: 6933159
    Abstract: In a method for fabricating a semiconductor laser device, a plurality of grooves are formed in a surface of one conductive type of an InP layer. The InP layer is thermally treated in an atmosphere including at least a gas containing phosphorus and a gas containing arsenic in a mixed state, thereby forming a plurality of active regions made of InAsP in the plurality of grooves. An other conductive type of semiconductor layer is formed after the active regions are formed.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: August 23, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masahiro Kito, Masato Ishino, Tomoaki Toda, Yoshiaki Nakano
  • Patent number: 6930010
    Abstract: A conductive structure provides a conductive path from a first region in a semiconductor material to a second spaced apart region in the semiconductor material by forming one or more trenches between the first and second regions, and implanting a dopant into the bottom surfaces of the trenches to form a continuous conductive path.
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: August 16, 2005
    Assignee: National Semiconductor Corporation
    Inventors: William M. Coppock, Charles A. Dark
  • Patent number: 6919612
    Abstract: An improved isolation structure for use in an integrated circuit and a method for making the same is disclosed. In a preferred embodiment, an silicon dioxide, polysilicon, silicon dioxide stack is formed on a crystalline silicon substrate. The active areas are etched to expose the substrate, and sidewall oxides are formed on the resulting stacks to define the isolation structures, which in a preferred embodiment constitute dielectric boxes containing the polysilicon in their centers. Epitaxial silicon is grown on the exposed areas of substrate so that it is substantially as thick as the isolation structure, and these grown areas define the active areas of the substrate upon which electrical structures such as transistors can be formed. While the dielectric box provides isolation, further isolation can be provided by placing a contact to the polysilicon within the box and by providing a bias voltage to the polysilicon.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: July 19, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Darwin A. Clampitt, Shawn D. Lyonsmith, Regan S. Tsui
  • Patent number: 6911374
    Abstract: A fabrication method for a shallow trench isolation region is described. A part of the trench is filled with a first insulation layer, followed by performing a surface treatment process to form a surface treated layer on the surface of a part of the first insulation layer. The surface treated layer is then removed, followed by forming a second insulation layer on the first insulation layer and filling the trench to form a shallow trench isolation region. Since a part of the trench is first filled with the first insulation layer, followed by removing a portion of the first insulation layer, the aspect ratio of the trench is lower before the filling of the second insulation in the trench. The adverse result, such as, void formation in the shallow trench isolation region due to a high aspect ratio, is thus prevented.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: June 28, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Chin Hsiang Lin, Chin-Wei Liao, Hsueh-Hao Shih, Kuang-Chao Chen
  • Patent number: 6905942
    Abstract: In a semiconductor device having element isolation made of a trench-type isolating oxide film 13, large and small dummy patterns 11 of two types, being an active region of a dummy, are located in an isolating region 10, the large dummy patterns 11b are arranged at a position apart from actual patterns 9, and the small dummy patterns 11a are regularly arranged in a gap at around a periphery of the actual patterns 9, whereby uniformity of an abrading rate is improved at a time of abrading an isolating oxide film 13a is improved, and surface flatness of the semiconductor device becomes preferable.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: June 14, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Kazuo Tomita
  • Patent number: 6897122
    Abstract: The present invention enables the production of improved high-speed semiconductor devices. The present invention provides the higher speed offered by strained silicon technology coupled with the smaller overall device size provided by shallow trench isolation technology without relaxation of the portion of the strained silicon layer adjacent to a shallow trench isolation region by laterally extending a shallow trench isolation into the strained silicon layer overlying a silicon germanium layer.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: May 24, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Qi Xiang
  • Patent number: 6884687
    Abstract: In one aspect, the invention provides a method of forming an electrical connection in an integrated circuitry device. According to one preferred implementation, a diffusion region is formed in semiconductive material. A conductive line is formed which is laterally spaced from the diffusion region. The conductive line is preferably formed relative to and within isolation oxide which separates substrate active areas. The conductive line is subsequently interconnected with the diffusion region. According to another preferred implementation, an oxide isolation grid is formed within semiconductive material. Conductive material is formed within the oxide isolation grid to form a conductive grid therein. Selected portions of the conductive grid are then removed to define interconnect lines within the oxide isolation grid. According to another preferred implementation, a plurality of oxide isolation regions are formed over a semiconductive substrate.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: April 26, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Wendell P. Noble
  • Patent number: 6878644
    Abstract: A method of filling a plurality of trenches etched in a substrate. In one embodiment the method includes depositing a layer of spin-on glass material over the substrate and into the plurality of trenches; curing the layer of spin-on glass material by exposing the spin-on glass material to electron beam radiation at a first temperature for a first period and subsequently exposing the spin-on glass material to an electron beam at a second temperature for a second period, where the second temperature is greater than the first temperature. The method concludes by depositing a layer of silica glass over the cured spin-on glass layer using a chemical vapor deposition technique.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: April 12, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Rick J. Roberts, Michael S. Cox, Jun Zhao, Khaled Elsheref, Alexandros T. Demos
  • Patent number: 6875697
    Abstract: A dual depth trench isolation structure formed between active devices and conductive well regions of same conductivity type which comprises a first inter-well isolation structure having a first isolation trench depth, a second inter-well isolation structure having a second isolation trench depth which combine to form a dual depth trench containing the dual depth trench isolation structure comprising the first inter-well isolation structure and the second inter-well isolation structure, with the dual depth trench isolation interposed at the boundary of an n-well conductive region and a p-well conductive region, a first intra-well isolation structure having a first isolation trench depth, the first intra-well isolation structure interposed between a pair of p-channel transistors residing in the n-well region, and a second intra-well isolation structure having a second isolation trench depth, the second intra-well isolation structure interposed between a pair of n-channel transistors residing in the p-well regio
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: April 5, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Jigish D. Trivedi
  • Patent number: 6875670
    Abstract: In a trench isolation method, an etching mask pattern for forming a trench is formed on a semiconductor substrate. The substrate is etched to form a trench. An insulating layer is formed to fill the trench, and then a material layer is formed on the insulating layer. In this case, the material layer is made of material formed at a high temperature to density the insulating layer. The material layer and the insulating layer are planarly etched and the etching mask pattern is removed, so that a trench isolation layer is completed. Accordingly, although a densification process is avoided, it is possible to form a device isolation layer having a favorable surface profile.
    Type: Grant
    Filed: February 1, 2001
    Date of Patent: April 5, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Han-Sin Lee, Moon-Han Park
  • Patent number: 6872632
    Abstract: A method of fabricating a semiconductor device capable of suppressing defective etching in formation of a deep trench also when the number of polishing steps is reduced is obtained. This method of fabricating a semiconductor device comprises steps of forming a first trench on an element isolation region of a semiconductor substrate, forming a first film consisting of an insulator film to fill up the first trench, forming a second trench larger in depth than the first trench in the first trench, forming an embedded film in the second trench and substantially simultaneously polishing an excess depositional portion of the first film and an excess depositional portion of the embedded film.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: March 29, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Yoshikazu Ibara
  • Patent number: 6869858
    Abstract: A method for forming a series of patterned planarized aperture fill layers within a series of apertures within a topographic substrate layer employed within a microelectronics fabrication. There is first provided a topographic substrate layer employed within a microelectronics fabrication, where the topographic substrate layer comprises a series of mesas of substantially equivalent height but of differing widths and the series of mesas is separated by a series of apertures. There is then formed upon the topographic substrate layer a blanket aperture fill layer. The blanket aperture fill layer is formed employing a simultaneous deposition and sputter method.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: March 22, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Syun-Ming Jang, Ying-Ho Chen
  • Patent number: 6869857
    Abstract: A new method of forming shallow trench isolations without using CMP is described. A plurality of isolation trenches are etched through an etch stop layer into the semiconductor substrate leaving narrow and wide active areas between the trenches. An oxide layer is deposited over the etch stop layer and within the trenches using a high density plasma chemical vapor deposition process (HDP-CVD) having a deposition component and a sputtering component wherein after the oxide layer fills the trenches, the deposition component is discontinued while continuing the sputtering component until the oxide layer is at a desired depth. In one method, the oxide layer overlying the etch stop layer in the wide active areas is etched away. The etch stop layer and oxide layer residues are removed to complete planarized STI regions.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: March 22, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Feng Dai, Pang Choong Hau, Peter Hing, Lap Chan
  • Patent number: 6869859
    Abstract: A pad oxide film and a silicon nitride film are formed on a semiconductor substrate. Next, after the patterning of the silicon nitride film, by etching the pad oxide film and the substrate, a first trench is formed in a first region and a second trench is formed in a second region. After that, by performing side etching of the pad oxide film of the first region while protecting the second region with a resist, a gap is formed between the substrate and the silicon nitride film. Subsequently, the inner surfaces of the first and second trenches are oxidized. At this time, a relatively large volume of oxidizing agent (oxygen) is supplied to a top edge portion of the first trench, and the curvature of the corner of the substrate increases.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: March 22, 2005
    Assignee: Fujitsu Limited
    Inventor: Hitoshi Saito
  • Patent number: 6867074
    Abstract: A method of fabrication a polysilicon layer is provided. A substrate is provided and then a buffer layer having a plurality of trenches thereon is formed over the substrate. Thereafter, an amorphous silicon layer is formed over the buffer layer. Finally, a laser annealing process is conducted so that the amorphous silicon layer melts and crystallizes into a polysilicon layer starting from the upper reach of the trenches. This invention can be applied to fabricate the polysilicon layer of a low temperature polysilicon thin film transistor liquid crystal display such that the crystals inside the polysilicon layer are uniformly distributed and have a larger average size.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: March 15, 2005
    Assignee: Au Optronics Corporation
    Inventor: I-Chang Tsao
  • Patent number: 6864151
    Abstract: A method of isolating active areas of a semiconductor workpiece. Deep trenches are formed in a workpiece between adjacent first active areas, and an insulating layer and a semiconductive material are deposited in the deep trenches. The semiconductive material is recessed below a top surface of the workpiece. Shallow trenches are formed in the workpiece between adjacent second active areas, and an insulating material is deposited in the shallow trenches and in the semiconductive material recess. The deep trenches may also be formed between an adjacent first active area and second active area. The first active areas may be high voltage devices, and the second active areas may be low voltage devices. The shallow trench isolation over the recessed semiconductive material in the deep trenches is self-aligned.
    Type: Grant
    Filed: July 9, 2003
    Date of Patent: March 8, 2005
    Assignee: Infineon Technologies AG
    Inventors: Jiang Yan, Danny Pak-Chum Shum
  • Patent number: 6864152
    Abstract: Dual trench depths are achieved on the same wafer by forming an initial trench having a depth corresponding to the difference in final depths of the shallow and deep trenches. A second mask is used to open areas for the deep trenches over the preliminary trenches and for the shallow trenches at additional locations. Etching of the shallow and deep trenches then proceeds simultaneously.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: March 8, 2005
    Assignee: LSI Logic Corporation
    Inventors: Mohammad R. Mirbedini, Venkatesh P. Gopinath, Hong Lin, Verne Hornback, Dodd Defibaugh, Ynhi Le
  • Patent number: 6861311
    Abstract: In one aspect, the invention provides a method of forming an electrical connection in an integrated circuitry device. According to one preferred implementation, a diffusion region is formed in semiconductive material. A conductive line is formed which is laterally spaced from the diffusion region. The conductive line is preferably formed relative to and within isolation oxide which separates substrate active areas. The conductive line is subsequently interconnected with the diffusion region. According to another preferred implementation, an oxide isolation grid is formed within semiconductive material. Conductive material is formed within the oxide isolation grid to form a conductive grid therein. Selected portions of the conductive grid are then removed to define interconnect lines within the oxide isolation grid. According to another preferred implementation, a plurality of oxide isolation regions are formed over a semiconductive substrate.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: March 1, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Wendell P. Noble
  • Patent number: 6852606
    Abstract: A method for forming an isolation layer of a semiconductor device which is capable of improving isolation characteristics of a highly integrated semiconductor device. The method includes the steps of forming a first insulating layer on a substrate; forming both a first recess in the first isolation region and a plurality of second recesses in the second isolation region by only once applying a photolithography process to the first insulating layer; forming a third recess, which is deeper than the first recess, in the center area of the first recess in the first isolation region; and filling the first, second, third recesses with insulating materials or a thermal oxide layer. In addition, in the semiconductor device in which the isolation region has different widths, the first isolation region which is relatively narrower in width than the second isolation region has a deeper recess than the second isolation region.
    Type: Grant
    Filed: May 13, 1997
    Date of Patent: February 8, 2005
    Assignee: LG Semicon Co., LTD
    Inventor: Young Kwon Jun
  • Patent number: 6841452
    Abstract: A silicon oxide film having a ununiform thickness is deposited inside each of trenches defined in a silicon substrate by etching within a device isolation region, in such a manner that only corner portions of trench bottoms are exposed. The silicon substrate is selectively etched from the exposed trench corner portions of the silicon substrate lying inside the trenches to thereby increase the volume of each trench.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: January 11, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiroyuki Tanaka
  • Patent number: 6838355
    Abstract: A method for forming back-end-of-line (BEOL) interconnect structures in disclosed. The method and resulting structure includes etchback for low-k dielectric materials. Specifically, a low dielectric constant material is integrated into a dual or single damascene wiring structure which contains a dielectric material having relatively high dielectric constant (i.e., 4.0 or higher). The damascene structure comprises the higher dielectric constant material immediately adjacent to the metal interconnects, thus benefiting from the mechanical characteristics of these materials, while incorporating the lower dielectric constant material in other areas of the interconnect level.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: January 4, 2005
    Assignee: International Business Machines Corporation
    Inventors: Anthony K. Stamper, Edward C. Cooney, III, Jeffrey P. Gambino, Timothy J. Dalton, John A. Fitzsimmons, Lee M. Nicholson
  • Patent number: 6838357
    Abstract: A method of chemical-mechanical polishing for forming a shallow trench isolation is disclosed. A substrate having a number of active regions, including a number of relative large active regions and a number of relative small active regions, is provided. The method comprises the following steps. A silicon nitride layer on the substrate is first formed A number of shallow trenches are formed between the active regions An oxide layer is formed over the substrate, so that the shallow trenches are filled with the oxide layer. A partial reverse active mask is formed on the oxide layer. The partial rever active mask has an opening at a central part of each relative large active region. The opening exposes a portion of the oxide layer. The opening has at least a dummy pattern. The oxide layer on the central part of each large active region is removed to expose the silicon nitride layer. The partial reverse active mask is removed The oxide layer is planarized to expose the silicon nitride layer.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: January 4, 2005
    Assignee: United Microelectronics Corporation
    Inventors: Coming Chen, Juan-Yuan Wu, Water Lur
  • Publication number: 20040266131
    Abstract: A method of producing semiconductor devices is provided, which makes it possible to bury a silicon oxide without shape deterioration in device isolation trenches. The method comprises the steps of: forming an etching resistive mask over a semiconductor substrate; etching the semiconductor substrate through an opening in the etching resistive mask to form a device isolation trench; forming a coat of a silazane perhydride polymer solution over the semiconductor substrate having the device isolation trench formed therein; vaporizing a solvent from the coat and then subjecting the coat to chemical reaction to form a film of silicon oxide; removing said film of the silicon oxide leaving a residue inside said device isolation trench; and heating said silicon oxide left in said device isolation trench for densification.
    Type: Application
    Filed: June 27, 2003
    Publication date: December 30, 2004
    Inventors: Atsuko Kawasaki, Satoshi Matsuda, Hisakazu Matsumori, Hidenori Shibata, Kumi Okuwada
  • Patent number: 6833602
    Abstract: A device having electrically isolated low voltage and high voltage substrate regions includes low voltage and high voltage trench isolation structures in which a deep portion of the high voltage isolation trench provides electrical isolation in the high voltage regions. The high voltage isolation trench structures include a shallow portion that is simultaneously formed with the low voltage trench isolation structures. The deep portion of the high voltage isolation trench has a bottom surface and shares a continuous wall surface with the shallow portion that extends from the bottom surface to the principal surface of the substrate. A process for fabricating the device includes the use of a single resist pattern to simultaneously form the low voltage isolation trench structures and the shallow portion of the high voltage isolation structures.
    Type: Grant
    Filed: September 6, 2002
    Date of Patent: December 21, 2004
    Assignee: Lattice Semiconductor Corporation
    Inventor: Sunil D. Mehta
  • Patent number: 6818528
    Abstract: A method for forming multi-depth apertures in a substrate is provided. The method includes first providing a pad stack atop a surface of a substrate having regions for forming apertures therein, the pad stack includes at least a top patterned masking layer. Next, at least one of the regions of the substrate is blocked with a first block mask, while leaving at least one other region of the substrate unblocked. A plurality of first apertures having a first depth is then formed in the unblocked region of the substrate using the patterned masking layer to define the plurality of first apertures. The first block mask is then removed; and thereafter a plurality of second apertures having a second depth is formed in regions of the substrate that were previously blocked by the first block mask using the same patterned masking layer to define the second apertures, while simultaneously increasing the first depth such that the first depth is deeper than the second depth.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: November 16, 2004
    Assignee: International Business Machines Corporation
    Inventors: Jack A. Mandelman, Ramachandra Divakaruni
  • Patent number: 6818527
    Abstract: In a method of manufacturing a semiconductor device, a semiconductor substrate having device regions and an isolation region for separating the device region is provided. Then, a trench is formed in the isolation region of the semiconductor substrate. A nitride film is formed on the device regions of the semiconductor substrate. Next, an oxide film is formed within the trench and on the nitride film so that an upper surface of the oxide film within the trench is located more than about 500 Å below an upper surface of the nitride film. Finally, the oxide film is polished by CMP method so that a height of the upper surface of the oxide film within the trench portion is maintained at less than a height of the upper surface of the nitride film adjacent thereto.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: November 16, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hideki Murakami
  • Patent number: 6794267
    Abstract: A process of manufacturing a semiconductor device comprising the step of chemical mechanical polishing for flattening an interlayer insulating film deposited on a wafer on which desired elements are in advance formed, wherein a stopper layer is formed on a region which will be excessively polished through the chemical mechanical polishing before or after forming the interlayer insulating film.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: September 21, 2004
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Noritaka Kamikubo
  • Patent number: 6794268
    Abstract: Crossing trenches of different depths may be formed in the same semiconductor structure by etching the deeper trench first. The deeper trench and the substrate may then be covered with a material that prevents further etching. The covering is etched through for the shallower trench, leaving a protective covering in the deeper trench.
    Type: Grant
    Filed: July 31, 2002
    Date of Patent: September 21, 2004
    Assignee: Intel Corporation
    Inventors: Ilya Karpov, Tony Ozzello
  • Patent number: 6794266
    Abstract: A method for forming a trench isolation structure. First, a substrate having at least one trench is provided. The trench is filled with a spin on glass (SOG) layer. Subsequently, a baking is performed on the SOG layer. The SOG layer is etched back to a predetermined depth. Next, a curing is performed on the remaining SOG layer. Finally, an insulating layer is formed on the remaining SOG layer to fill the trench completely.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: September 21, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Shing-Yih Shih, Chien-Mao Liao, Chang Rong Wu
  • Patent number: 6794269
    Abstract: A method is provided which includes forming a deep isolation structure within a semiconductor topography. In some cases, the method may include forming a first isolation structure within a semiconductor layer and etching an opening within the isolation structure to expose the semiconductor layer. In addition, the method may include etching the semiconductor layer to form a trench extending through the isolation structure and at least part of the semiconductor layer. In some cases, the method may include removing part of a first fill layer deposited within the trench such that an upper surface of the fill layer is below an upper portion of the trench. In such an embodiment, the vacant portion of the trench may be filled with a second fill layer. In yet other embodiments, the method may include planarizing the first fill layer within the trench and subsequently oxidizing an upper portion of the fill layer.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: September 21, 2004
    Assignee: Cypress Semiconductor Corp.
    Inventors: Prabhuram Gopalan, Biju Parameshwaran, Krishnaswamy Ramkumar, Hanna Bamnolker, Sundar Narayanan
  • Patent number: 6790742
    Abstract: A method of chemical-mechanical polishing for forming a shallow trench isolation is disclosed. A substrate having a number of active regions, including a number of relatively large active regions and a number of relative small active regions, is provided. The method comprises the following steps. A silicon nitride layer on the substrate is formed. A number of shallow trenches are formed between the active regions one or more of which may constitute an alignment mark. An oxide layer is formed over the substrate, so that the shallow trenches are filled with the oxide layer. A partial reverse active mask is formed on the oxide layer. The partial reverse active mask exposes a portion of the oxide layer over the large active area and over the alignment mark. The oxide layer of each large active region and the alignment mark is removed. The partial reverse active mask is removed. The oxide layer is planarized.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: September 14, 2004
    Assignee: United Microelectronics Corporation
    Inventors: Ming-Sheng Yang, Juan-Yuan Wu, Water Lur
  • Patent number: 6790751
    Abstract: A plurality of grooves, each having a depth of 10 &mgr;m or more and arranged adjacent to each other, are formed at a predetermined portion of a semiconductor substrate where a passive element is formed. Then, a thermal oxidation treatment is performed to let an oxide film grow from an inside surface of each groove so as to fill an inside space of the groove with a thermal oxide film thus grown and turn an entire portion intervening between adjacent grooves into a thermal oxide layer. Each groove has a width of 1 &mgr;m or less, and a width of a semiconductor material intervening between two adjacent grooves is 81.8% or more with respect to the groove width.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: September 14, 2004
    Assignee: DENSO Corporation
    Inventors: Kazuhiro Tsuruta, Nobuaki Kawahara
  • Patent number: 6790781
    Abstract: A dual depth trench isolation structure formed between active devices and conductive well regions of same conductivity type which comprises a first inter-well isolation structure having a first isolation trench depth, a second inter-well isolation structure having a second isolation trench depth which combine to form a dual depth trench containing the dual depth trench isolation structure comprising the first inter-well isolation structure and the second inter-well isolation structure, with the dual depth trench isolation interposed at the boundary of an n-well conductive region and a p-well conductive region, a first intra-well isolation structure having a first isolation trench depth, the first intra-well isolation structure interposed between a pair of p-channel transistors residing in the n-well region, and a second intra-well isolation structure having a second isolation trench depth, the second intra-well isolation structure interposed between a pair of n-channel transistors residing in the p-well regio
    Type: Grant
    Filed: June 2, 2003
    Date of Patent: September 14, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Jigish D. Trivedi
  • Patent number: 6784055
    Abstract: A flash memory having a charge-storage dielectric layer and a method for forming the same are provided. According to one embodiment, charge-storage dielectric layers are formed over the first and second active regions. The charge-storage layer over the first active region is not connected to the charge-storage layer over the second active region. A gate line overlies the charge-storage layer and extends across the first and second active regions and the isolation region. The charge-storage layer can be formed only where a gate line intersects an active region of a semiconductor substrate, not on an isolation region. Thus, undesirable influence or disturbance from adjacent memory cells can be avoided.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: August 31, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-Cheol Shin, Jong-Woo Park, Jung-Dal Choi
  • Patent number: 6777772
    Abstract: Trenches for defining chip areas are formed on the surface of a semiconductor substrate so that outlines of side walls of each of the trenches have recesses or protrusions. Then, a sputtering film is so formed as to be continuous in an area bridging the surface of each of the chip areas and the inside surface of each of the trenches, and the semiconductor substrate is diced along lines outside the trenches.
    Type: Grant
    Filed: November 12, 1998
    Date of Patent: August 17, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Masatoshi Taya, Takio Ohno, Naofumi Murata
  • Patent number: 6774452
    Abstract: A semiconductor structure including a semiconductor substrate, an isolation trench in the semiconductor substrate, and an alignment trench in the semiconductor substrate is disclosed. The structure also includes a dielectric layer and a metallic layer. The dielectric layer is on the semiconductor substrate and in both the isolation trench and the alignment trench. The dielectric layer fills the isolation trench and does not fill the alignment trench. The metallic layer is on the dielectric layer.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: August 10, 2004
    Assignee: Cypress Semiconductor Corporation
    Inventors: Krishnaswamy Ramkumar, Sharmin Sadoughi
  • Patent number: 6774017
    Abstract: A semiconductor structure, and associated method of fabrication, comprising a substrate having a continuous buried oxide layer and having a plurality of trench isolation structures. The buried oxide layer may be located at more than one depth within the substrate. The geometry of the trench isolation structure may vary with depth. The trench isolation structure may touch or not touch the buried oxide layer. Two trench isolation structures may penetrate the substrate to the same depth or to different depths. The trench isolation structures provide insulative separation between regions within the substrate and the separated regions may contain semiconductor devices. The semiconductor structure facilitates the providing of digital and analog devices on a common wafer. A dual-depth buried oxide layer facilitates an asymmetric semiconductor structure.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: August 10, 2004
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Scott Brown, Andres Bryant, Robert J. Gauthier, Jr., Randy William Mann, Steven Howard Voldman
  • Publication number: 20040147091
    Abstract: The present invention provides a method of fabricating trench isolation structure of a semiconductor device. A conformal trench filler insulation layer is formed to fill wide and narrow trenches in a substrate. A portion of the trench filler insulation layer filling the wide trench is then removed. Next, a trench protection layer is formed on the trench filler insulation layer. The resultant structure is planarized to leave the trench protection layer over the wide width trench. Another planarization process is then carried out using the etch mask pattern and the remaining trench protection layer as a planarization stopper. Accordingly, the device isolation layer will attain a uniform planarity irrespective of the various widths of the trenches.
    Type: Application
    Filed: January 7, 2004
    Publication date: July 29, 2004
    Inventors: Sang-Hun Park, Chung-Ho Lim, Sung-Gyu Park
  • Patent number: 6767781
    Abstract: A bitline contact and method of forming bitline contact for a vertical DRAM array using a bitline contact mask. In the method, gate conductor lines are formed. An oxide layer is deposited over the gate conductor lines, and a bitline contact mask is formed over portions of the oxide layer. The bitline contact mask is etched, and a silicon layer is deposited on the substrate. A bitline layer is deposited on the silicon layer. A masking and etching operation is performed on the bitline layer. A M0 metal is deposited over the silicon layer and on sides of non etched portions of the bitline (M0) layer to form left and right bitlines.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: July 27, 2004
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Larry A. Nesbit, Jonathan E. Faltermeier, Ramachandra Divakaruni, Wolfgang Bergner
  • Patent number: 6767800
    Abstract: A process for integrating an alignment mark and a trench device. A substrate having first and second trenches is provided. The second trench is used as the alignment mark having a width larger than the first trench. The trench device is formed in each of the low portion of the first and second trenches, and then a first conductive layer is formed on the trench device in each of the first and second trenches. A second conductive layer is formed overlying the substrate and fills in the first trench and is simultaneously and conformably formed over the inner surface of the second trench. The second conductive layer and a portion of the first conductive layer in the second trench are removed and simultaneously leave a portion of the second conductive layer in the first trench by the etch back process.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: July 27, 2004
    Assignee: Nanya Technology Corporation
    Inventors: Tzu-Ching Tsai, Liang-Hsin Chen
  • Patent number: 6764922
    Abstract: An oxynitride material is used to form shallow trench isolation regions in an integrated circuit structure. The oxynitride may be used for both the trench liner and trench fill material. The oxynitride liner is formed by nitriding an initially formed oxide trench liner. The oxynitride trench fill material is formed by directly depositing a high density plasma (HDP) oxide mixture of SiH4 and O2 and adding a controlled amount of NH3 to the plasma mixture. The resultant oxynitride structure is much more resistant to trench fill erosion by wet etch, for example, yet results in minimal stress to the surrounding silicon. To further reduce stress, the nitrogen concentration may be varied by varying the proportion of O2 to NH3 in the plasma mixture so that the nitrogen concentration is maximum at the top of the fill material.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: July 20, 2004
    Assignee: International Business Machines Corporation
    Inventors: Klaus D. Beyer, Fen F. Jamin, Patrick R. Varekamp
  • Patent number: 6756654
    Abstract: The present invention is directed toward a structure and method by which trench isolation for a wide trench and a narrow trench formed in first and second regions of a substrate may be achieved without formation of a void in an isolation layer, a groove exposing an isolation layer, or an electrical bridge between gates in a subsequent process. A lower isolation layer is formed on the substrate in a first and second trench. The lower isolation layer is patterned to fill a lower region of the first trench, and an upper isolation pattern is formed to fill the second trench and a remainder of the first trench. An aspect ratio of first trench is reduced, thereby preventing the occurrence of a void in the upper isolation layer, or a gap between the upper isolation layer and the substrate.
    Type: Grant
    Filed: August 9, 2002
    Date of Patent: June 29, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Hwa Heo, Soo-Jin Hong
  • Publication number: 20040119135
    Abstract: In a trench isolation structure of a semiconductor device, oxide liners are formed within the trenches, wherein a non-oxidizable mask is employed during various oxidation steps, thereby creating different types of liner oxides and thus different types of corner rounding and thus mechanical stress. Therefore, for a specified type of circuit elements, the characteristics of the corresponding isolation trenches may be tailored to achieve an optimum device performance.
    Type: Application
    Filed: May 23, 2003
    Publication date: June 24, 2004
    Inventors: Ralf van Bentum, Stephan Kruegel, Gert Burbach
  • Patent number: 6753236
    Abstract: A method for planarizing the surface of an isolating layer that is deposited on a semiconductor body is described. Zones where the isolating layer has a low level are covered with a block mask in order to be able to selectively etch zones of the isolating layer with a higher level.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: June 22, 2004
    Assignee: Infineon Technologies AG
    Inventors: Klaus Feldner, Werner Graf, Albrecht Kieslich, Hermann Sachse
  • Patent number: 6746966
    Abstract: A method of unblinding an alignment mark comprising the following steps. A substrate having a cell area and an alignment mark within an alignment area is provided. An STI trench is formed into the substrate within the cell area. A silicon oxide layer is formed over the substrate, filling the STI trench and the alignment mark. The silicon oxide layer is planarized to form a planarized STI within the STI trench and leaving silicon oxide within the alignment mark to form a blinded alignment mark. A wet chemical etchant is applied within the alignment mark area over the blinded alignment mark to at least partially remove the silicon oxide within the alignment mark. The remaining silicon oxide is removed from within the blinded alignment mark to unblind the alignment mark. A drop etcher apparatus is also disclosed.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: June 8, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Long Chang, Henry Lo, Shang-Ting Tsai, Yu-Liang Lin
  • Patent number: 6734080
    Abstract: A semiconductor isolation material deposition system and method that facilitates convenient and efficient integrated multi-step deposition of isolation regions is presented. In one embodiment of the present invention, an integrated circuit includes densely configured component areas and sparsely configured component areas. An active area in a wafer is created and a shallow trench space is formed. A thin layer of TEOS isolation material layer is deposited on top of the active area and the shallow trench. For example, the layer of thin layer of TEOS isolation material is in a range of 4000 to 5000 angstroms thick over the top of underlying active areas. A reverse mask and pre-planarization etch is performed on the thin layer of TEOS isolation material. The remaining TEOS edge spikes between the densely configured component area and the sparsely configured component area are minimal (e.g., about 500 angstroms.
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: May 11, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nian Yang, John Jianshi Wang, Tien-Chun Yang