Refilling Multiple Grooves Of Different Widths Or Depths Patents (Class 438/427)
  • Patent number: 7220655
    Abstract: Disclosed herein is a method comprised of providing a wafer comprised of a bulk substrate, an insulating layer positioned above the bulk substrate, and a semiconducting layer positioned above the insulating layer, forming an opening in the semiconducting layer and the insulating layer to thereby expose a surface area of the bulk substrate, forming an alignment mark in the bulk substrate within the exposed surface area of the bulk substrate, and forming a layer of material above the alignment mark and in the opening. A wafer is also disclosed herein that is comprised of a bulk substrate, an insulating layer positioned above the bulk substrate, a semiconducting layer positioned above the insulating layer, an opening formed in the semiconducting layer and the insulating layer, an alignment mark formed in the bulk substrate within an area defined by the opening, and a layer of material positioned above the alignment mark and within the opening.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: May 22, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frederick N. Hause, Jeffrey C. Haines, Michael E. Exterkamp
  • Patent number: 7211498
    Abstract: A method including forming a first mask material layer on a semiconductor substrate in order to mask a cell region and to not mask a peripheral circuit region. The method further includes forming a second mask material layer on an entire surface of the substrate in the cell region and peripheral circuit region, simultaneously forming a trench having a first depth in the cell region and a trench having a second depth in the peripheral circuit region, where the second depth is greater than the first depth. The method also includes filling an insulation layer into an entire surface of the substrate including trenches, planarizing the insulation material layer and the second mask material layer to a degree that the first mask material layer is exposed, and respectively forming an STI isolation layer in both the cell region and the peripheral circuit region by removing the first and second mask material layer.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: May 1, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Dong-Oog Kim
  • Patent number: 7208390
    Abstract: A semiconductor device structure has trenches of two widths or more. The smallest widths are to maximize density. The greater widths may be required because of more demanding isolation, for example, in the case of non-volatile memories. These more demanding, wider isolation trenches are lined with a high quality grown oxide as part of the process for achieving the desired result of high quality isolation. For the case of the narrowest trenches, the additional liner causes the aspect ratio, the ratio of the depth of the trench to the width of the trench, to increase. Subsequent deposition of insulating material to fill the trenches with the highest aspect ratios can result in voids that can ultimately result in degraded yields. These voids are avoided by etching at least a portion of the liners of those trenches with the highest aspect ratios to reduce the aspect ratio to acceptable levels.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: April 24, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Rana P. Singh, Paul A. Ingersoll
  • Patent number: 7205206
    Abstract: Compressive or tensile materials are selectively introduced beneath and in alignment with spacer areas and adjacent to channel areas of a semiconductor substrate to enhance or degrade electron and hole mobility in CMOS circuits. A process entails steps of creating dummy spacers, forming a dielectric mandrel (i.e., mask), removing the dummy spacers, etching recesses into the underlying semiconductor substrate, introducing a compressive or tensile material into a portion of each recess, and filling the remainder of each recess with substrate material.
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: April 17, 2007
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Bruce B. Doris, Oleg Gluschenkov
  • Patent number: 7205208
    Abstract: In a method of manufacturing a semiconductor device, a first trench is formed in a first region of a substrate and a second trench is formed in a second region of the substrate different from the first region. A depth of the first trench is less than that of the second trench. An insulation layer is formed in the second trench, so that semiconductor structures in the first trench are electrically isolated, and a conductive layer fills the first trench and extends above the first trench.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: April 17, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Hyun Kim, Du-Heon Song
  • Patent number: 7189628
    Abstract: Dual trench depths are achieved on the same wafer by forming an initial trench having a depth corresponding to the difference in final depths of the shallow and deep trenches. A second mask is used to open areas for the deep trenches over the preliminary trenches and for the shallow trenches at additional locations. Etching of the shallow and deep trenches then proceeds simultaneously.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: March 13, 2007
    Assignee: LSI Logic Corporation
    Inventors: Mohammad R. Mirbedini, Venkatesh P. Gopinath, Hong Lin, Verne Hornback, Dodd Defibaugh, Ynhi Le
  • Patent number: 7179717
    Abstract: Forming an integrated circuit device includes forming a hard mask layer overlying a semiconductor substrate. The hard mask layer is patterned to expose portions of the substrate and edges of the hard mask layer. Exposed portions of the substrate are removed. A first portion of the substrate is covered with a photoresist layer while leaving a second portion exposed. The exposed edges of the hard mask are recessed to expose a third portion of the substrate. Recessing the exposed edges of the hard mask includes using at least a dry-etch chemistry. The exposed second and third portions of the substrate are oxidized.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: February 20, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Sukesh Sandhu, Kevin Torek
  • Patent number: 7172948
    Abstract: A semiconductor process wafer having substantially co-planar active areas and a laser marked area in an adjacent inactive area and method for forming the same to eliminate a step height and improve a subsequent patterning process over the active areas wherein an inactive area trench is formed overlying the laser marked area in parallel with formation of STI trenches in the active area whereby the active areas and the inactive area are formed substantially co-planar without a step height.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: February 6, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Kun Fang, Kun-Pi Cheng, Wei-Jen Wu, Ching-Jiunn Huang, Chung-Jen Chen
  • Patent number: 7160787
    Abstract: The present invention is directed toward a structure and method by which trench isolation for a wide trench and a narrow trench formed in first and second regions of a substrate may be achieved without formation of a void in an isolation layer, a groove exposing an isolation layer, or an electrical bridge between gates in a subsequent process. A lower isolation layer is formed on the substrate in a first and second trench. The lower isolation layer is patterned to fill a lower region of the first trench, and an upper isolation pattern is formed to fill the second trench and a remainder of the first trench. An aspect ratio of first trench is reduced, thereby preventing the occurrence of a void in the upper isolation layer, or a gap between the upper isolation layer and the substrate.
    Type: Grant
    Filed: March 4, 2004
    Date of Patent: January 9, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Hwa Heo, Soo-Jin Hong
  • Patent number: 7144790
    Abstract: A shallow trench isolation type semiconductor device is described, which includes a trench having a flexure in a bottom thereof. The flexure has a step difference of about 100 ? or more, and is preferably made at a middle area. Conventionally, a gate insulating layer includes a thin area of about 100 ? or less and a thick area of about 200 ? or more. On the basis of a bottom of a trench peripheral region, a middle part of the flexure may be concave or convex. Particularly, the foregoing device can effectively be applied to a self-aligned flash memory in which a width of a trench between one active region and another is about 3 micrometers or less.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: December 5, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: You-Cheol Shin
  • Patent number: 7144764
    Abstract: The invention relates to improvements in a method of manufacturing a semiconductor device in which deterioration in a transistor characteristic is avoided by preventing a channel stop implantation layer from being formed in an active region. After patterning a nitride film (22), the thickness of an SOI layer 3 is measured (S2) and, by using the result of measurement, etching conditions (etching time and the like) for SOI layer 3 are determined (S3). To measure the thickness of SOI layer 3, it is sufficient to use spectroscopic ellipsometry which irradiates the surface of a substance with linearly polarized light and observes elliptically polarized light reflected by the surface of a substance. The etching condition determined is used and a trench TR2 is formed by using patterned nitride film 22 as an etching mask (S4).
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: December 5, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Takuji Matsumoto, Mikio Tsujiuchi, Toshiaki Iwamatsu, Shigenobu Maeda, Yuuichi Hirano, Shigeto Maegawa
  • Patent number: 7122443
    Abstract: A method of fabricating a flash memory device is disclosed where a trench formation process and a wall oxide film formation process are performed separately depending on a pattern density, and wall oxide films are formed with different thicknesses. Accordingly, an increase in a thickness of the wall oxide films due to a smiling effect of tunnel oxide films by a wall oxidization process is prevented and reliability of a device can thus be improved.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: October 17, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: In Kwon Yang
  • Patent number: 7115478
    Abstract: At least a groove for separating a semiconductor substrate into a first region of a relatively large area and a second region of a relatively small area is formed. An insulating film is formed on the surface of the semiconductor substrate including the interior of the groove. The insulating film is etched using an etching mask having a lattice window pattern in such a manner that openings corresponding to the lattice window pattern are formed in the first region. As an alternative, openings corresponding to a single opening pattern are formed in the first region using an etching mask having the single opening pattern and the lattice window pattern, and the insulating film is etched in such a manner that openings corresponding to the lattice window pattern are formed in the second region. In both cases, the remaining insulating film is polished off.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: October 3, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kiyohito Mukai, Tadashi Tanimoto, Mitsumi Ito
  • Patent number: 7112511
    Abstract: A method for fabricating a CMOS image sensor with a prism includes the steps of: forming a plurality of photodiodes corresponding to respective unit pixels on a substrate; sequentially forming an inter-layer insulation layer and an uppermost metal line on the substrate and the photodiodes; etching the inter-layer insulation layer to form a plurality of trenches corresponding to the respective photodiodes; depositing a high density plasma (HDP) oxide layer such that the HDP oxide layer disposed between the trenches has a tapered profile; depositing a nitride layer having a higher refractive index than that of the inter-layer insulation layer to fill the trenches; and depositing an insulation layer having a lower refractive index than that of the nitride layer to fill the trenches, thereby forming a prism, wherein the prism induces a total reflection of lights incident to the photodiodes disposed in edge regions of a pixel array.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: September 26, 2006
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Hee Jeong Hong
  • Patent number: 7109093
    Abstract: Methods of forming and the integrated circuit device structure formed having vertical interfaces adjacent an existing crack stop around a perimeter of a chip, whereby the vertical interface controls cracks generated during side processing of the device such as dicing, and in service from penetrating the crack stop. The vertical interface is comprised of a material that prevents cracks from damaging the crack stop by deflecting cracks away from penetrating the crack stop, or by absorbing the generated crack energies. Alternatively, the vertical interface may be a material that allows advancing cracks to lose enough energy such that they become incapable of penetrating the crack stop. The present vertical interfaces can be implemented in a number of ways such as, vertical spacers of release material, vertical trenches of release material or vertical channels of the release material.
    Type: Grant
    Filed: March 22, 2004
    Date of Patent: September 19, 2006
    Assignee: International Business Machines Corporation
    Inventors: John A. Fitzsimmons, Michael W. Lane, Vincent J. McGahay, Thomas M. Shaw, Anthony K. Stamper
  • Patent number: 7098115
    Abstract: Hexachlorodisilane (Si2Cl6) is used as a Si raw material for forming a silicon nitride film that can be widely different in the etching rate from a silicon oxide film. The silicon nitride film is formed by an LPCVD method.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: August 29, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masayuki Tanaka, Shigehiko Saida, Yoshitaka Tsunashima
  • Patent number: 7098116
    Abstract: A method of reducing oxide thickness variations in a STI pattern that includes both a dense trench array and a wide trench is described. A first HDP CVD step with a deposition/sputter (D/S) ratio of 9.5 is used to deposit a dielectric layer with a thickness that is 120 to 130% of the shallow trench depth. An etch back is performed in the same CVD chamber with NF3, SiF4 or NF3 and SiF4 to remove about 40 to 50% of the initial dielectric layer. A second HDP CVD step with a D/S ratio of 16 deposits an additional thickness of dielectric layer to a level that is slightly higher than after the first deposition. The etch back and second deposition form a smoother dielectric layer surface which enables a subsequent planarization step to provide filled STI features with a minimal amount of dishing in wide trenches.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: August 29, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Cheng Lu, Chuan-Ping Hou, Chu-Yun Fu, Chang Wen, Jang Syun Ming
  • Patent number: 7091104
    Abstract: A method for forming an isolation structure on a semiconductor substrate includes opening a portion of a pad oxide layer overlying the substrate using a process gas including an etchant gas and a polymer-forming gas. A portion of the substrate exposed by the opening step is etched to form a trench having a first slope and a second slope. The first slope is greater than 45 degrees, and the second slope is less than 45 degrees. The trench is filled to form the isolation structure.
    Type: Grant
    Filed: January 23, 2003
    Date of Patent: August 15, 2006
    Assignee: SilTerra Malaysia Sdn. Bhd.
    Inventors: Inki Kim, Sang Yeon Kim, Min Paek, Ch'ng Toh Ghee, Ramakrishnan Rajagopal, Chiew Gie Lee, Wan Gie Lee, Choong Shiau Chien, Charlie Tay, Chang Gi Lee, Hitomi Watanabe, Naoto Inoue
  • Patent number: 7091103
    Abstract: CMP of integrated circuits containing DRAM arrays with trench capacitors fill the trenches with oxide, resulting in a an array of oxide structures that is dense compared with the concentration in the surrounding support structures and therefore has a higher loading. A conformal layer is deposited over the wafer, increasing the loading in the array, but filling in spaces between active areas. A blanket etch removes material in both the array and the supports. A block etch balances the amount of material in the array and the supports. A supplementary oxide deposition in the array fills spaces between the structures to a nearly uniform density.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: August 15, 2006
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corporation
    Inventors: Jochen Beintner, Laertis Economikos, Michael Wise, Andreas Knorr
  • Patent number: 7084058
    Abstract: Coplanar waveguides having a deep trench between a signal line and a ground plane and methods of their fabrication are disclosed. An oxide layer is provided over a silicon substrate and a photoresist is applied and patterned to define areas where the signal line and the ground plane will be formed. A barrier layer is provided over the oxide layer in the defined areas. A metal layer is then deposited over the barrier layer. An etch mask is deposited over the metal layer for the subsequent trench formation. The photoresist and the underlying portion of the oxide and barrier layers are removed and a deep trench is formed in the substrate between the signal line and the ground plane using etching through the mask.
    Type: Grant
    Filed: April 17, 2001
    Date of Patent: August 1, 2006
    Assignee: Micron Technology Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7078314
    Abstract: The present invention discloses a memory device having an improved periphery isolation region and core isolation region. A first trench is formed in a core region. Substrate material bordering the first trench is then oxidized to form a first liner. The first liner is then removed. A second trench is then formed in a periphery region. A second oxidation is then performed such that a second liner is formed from the substrate material bordering the first and second trenches. A dielectric trench fill having substantially uniform density is then deposited in the first and second trenches.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: July 18, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Unsoon Kim, Hiroyuki Kinoshita, Yu Sun
  • Patent number: 7078286
    Abstract: A process for fabricating a semiconductor device having electrically isolated low voltage and high voltage substrate regions includes low voltage and high voltage trench isolation structures in which a deep portion of the high voltage isolation trench provides electrical isolation in the high voltage regions. The high voltage isolation trench structures include a shallow portion that can be simultaneously formed with the low voltage trench isolation structures. The deep portion of the high voltage isolation trench has a bottom surface and shares a continuous wall surface with the shallow portion that extends from the bottom surface to the principal surface of the substrate. A process for fabricating the device includes the formation of sidewall spacers to define a minimum isolation width between adjacent high voltage nodes.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: July 18, 2006
    Assignee: Lattice Semiconductor Corporation
    Inventor: Sunil D. Mehta
  • Patent number: 7071075
    Abstract: Disclosed is a shallow trench isolation (STI) forming method for improving STI step uniformity. The method deposits an oxidation layer to a semiconductor structure formed with STIs. After a planarization material layer is formed on the oxidation, then CMP process is performed. By using the method of the present invention, the STI step uniformity can be raised.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: July 4, 2006
    Assignee: NANYA Technology Corporation
    Inventors: Chung-Peng Hao, Yi-Nan Chen
  • Patent number: 7071074
    Abstract: A material layer on a substrate being processed, e.g. to form chips, includes one or more functional structures. In order to control pattern density during fabrication of the chip, dummy fill structures of different sizes and shapes are added to the chip at different distances from the functional structures of the material layer. In particular, the placement, size and shape of the dummy structures are determined as a function of a distance to, and density of, the functional structures of the material layer.
    Type: Grant
    Filed: September 24, 2003
    Date of Patent: July 4, 2006
    Assignee: Infineon Technologies AG
    Inventors: Sebastian Schmidt, Thomas Schafbauer, Hang Yip Liu, Yayi Wei
  • Patent number: 7049206
    Abstract: Exemplary embodiments of the present invention disclose a semiconductor assembly having at least one isolation structure formed. The semiconductor assembly comprises: a first trench in a semiconductive substrate; a second trench extending the overall trench depth in the semiconductive substrate by being aligned to the first trench; and an insulation material substantially filling the first and second trenches. The isolation structure separates a non-continuous surface of a conductive region.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: May 23, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Salman Akram
  • Patent number: 7045435
    Abstract: The present invention relates to a shallow trench isolation method of a semiconductor wafer which fills dielectric material into shallow trenches between components on the surface of the semiconductor wafer to electrically isolate the components. This method can prevent dishing phenomenon from occurring over the surface of some wider shallow trenches when a chemical-mechanical polishing method is used to polish the surface of the dielectric material filled in each shallow trench.
    Type: Grant
    Filed: November 3, 1998
    Date of Patent: May 16, 2006
    Assignee: Mosel Vitelic Inc
    Inventor: Jacson Liu
  • Patent number: 7041574
    Abstract: A method of forming a composite intermetal dielectric structure is provided. An initial intermetal dielectric structure is provided, which includes a first dielectric layer and two conducting lines. The two conducting lines are located in the first dielectric layer. A portion of the first dielectric layer is removed between the conducting lines to form a trench. The trench is filled with a second dielectric material. The second dielectric material is a low-k dielectric having a dielectric constant less than that of the first dielectric layer.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: May 9, 2006
    Assignee: Infineon Technologies AG
    Inventors: Sun-Oo Kim, Markus Naujok, Andy Cowley
  • Patent number: 7037802
    Abstract: A method of chemical-mechanical polishing for forming a shallow trench isolation is disclosed. A substrate having a number of active regions, including a number of relatively large active regions and a number of relative small active regions, is provided. The method comprises the following steps. A silicon nitride layer on the substrate is formed. A number of shallow trenches are formed between the active regions one or more of which may constitute an alignment mark. An oxide layer is formed over the substrate, so that the shallow trenches are filled with the oxide layer. A partial reverse active mask is formed on the oxide layer. The partial reverse active mask exposes a portion of the oxide layer over the large active area and over the alignment mark. The oxide layer of each large active region and the alignment mark is removed. The partial reverse active mask is removed. The oxide layer is planarized.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: May 2, 2006
    Assignee: United Microelectronics Corporation
    Inventors: Ming-Sheng Yang, Juan-Yuan Wu, Water Lur
  • Patent number: 7033907
    Abstract: A method for forming an isolation layer of a semiconductor device is disclosed, which comprises the steps of: etching a silicon substrate having a cell region and a peripheral circuit region, forming a first trench having a first size in the cell region, and forming a second trench having a second size, which is larger than the first size of the first trench, in the peripheral circuit region; forming a sidewall oxide layer on surfaces of the first trench and the second trench; sequentially depositing a liner nitride layer and a liner oxide layer on a resultant substrate inclusive of the sidewall oxide layer; performing a plasma pre-heating process using O2+He with respect to the resultant substrate in an HDP CVD process chamber and selectively oxidizing a portion of the liner nitride layer remaining on a bottom of the second trench in the peripheral circuit region; continuously depositing an HDP oxide layer on the resultant substrate having been subjected to the plasma pre-heating process, thereby filling the
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 25, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jung Geun Kim
  • Patent number: 7033909
    Abstract: Methods of forming trench isolations are provided. A method includes providing a semiconductor substrate having a cell array region and a peripheral region. At least one cell trench in the cell array region and at least one peripheral trench wider than the cell trench in the peripheral region of the substrate are formed. The cell and the peripheral trenches have sidewalls. A first dielectric layer that partially fills the cell and peripheral trenches is formed over the substrate. At least one photoresist pattern that exposes at least the cell trench partially filled with the first dielectric layer is formed over the substrate. The first dielectric layer formed on the sidewalls of the exposed cell trench is etched by using the photoresist pattern as a etch mask. Subsequently, the photoresist pattern is removed. A second dielectric layer filling the cell and peripheral trenches is formed over the substrate where the photoresist pattern is removed.
    Type: Grant
    Filed: April 12, 2004
    Date of Patent: April 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Rae Kim, Ju-Bum Lee, Min Kim
  • Patent number: 7029987
    Abstract: A method of manufacturing a semiconductor device having a shallow trench isolation includes steps of forming a mask layer on a semiconductor substrate, forming a shallow trench in a semiconductor substrate using the mask layer, forming at least one step in the semiconductor substrate at the top of the shallow trench, and then forming a liner layer over the entire surface of the semiconductor substrate so as to line the shallow trench and thereby offer protection during subsequent oxidation. When the mask layer is subsequently removed, the at least one step in the semiconductor substrate allows portions of the liner layer extending outside the shallow trench to be removed without creating problematic dents in the structure.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: April 18, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Hoan Kim
  • Patent number: 7022565
    Abstract: A method of fabricating a trench capacitor of a mixed mode integrated circuit includes forming shallow trench isolation regions for isolating active/passive devices on a semiconductor substrate. The lower electrode layer of the polysilicon layer, the dielectric layer, and the upper electrode layer are formed in sequence in a plurality of shallow trench isolation regions to form a trench capacitor. The present invention uses a trench capacitor to substitute for the 3-dimensional structure capacitor to overcome the disadvantages of the conventional capacitor, resulting in increasing the surface area of electrode and the capacitance.
    Type: Grant
    Filed: November 26, 2004
    Date of Patent: April 4, 2006
    Assignee: Grace Semiconductor Manufacturing Corporation
    Inventor: Jung-Cheng Kao
  • Patent number: 7018906
    Abstract: A method of chemical-mechanical polishing for forming a shallow trench isolation is disclosed. A substrate having a number of active regions, including a number of relatively large active regions and a number of relatively small active regions, is provided. The method comprises the following steps. A silicon nitride layer on the substrate is first formed. A number of shallow trenches are formed between the active regions. An oxide layer is formed over the substrate, so that the shallow trenches are filled with the oxide layer. A partial reverse active mask is formed on the oxide layer. The partial reverse active mask has an opening at a central part of each relatively large active region. The opening exposes a portion of the oxide layer. The opening has at least a dummy pattern. The oxide layer on the central part of each large active region is removed to expose the silicon nitride layer. The partial reverse active mask is removed. The oxide layer is planarized to expose the silicon nitride layer.
    Type: Grant
    Filed: November 9, 2004
    Date of Patent: March 28, 2006
    Assignee: United Microelectronics Corporation
    Inventors: Coming Chen, Juan-Yuan Wu, Water Lur
  • Patent number: 7019348
    Abstract: An embedded semiconductor product employs a first isolation trench and first isolation region formed therein adjoining a logic cell active region of a semiconductor substrate. The embedded semiconductor product also employs a second isolation trench and second isolation region formed therein adjoining a memory cell active region of the semiconductor substrate. The second isolation trench is deeper than the first isolation trench such that a storage capacitor whose capacitor plate is embedded at least in part within the second isolation region may be formed with enhanced capacitance.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: March 28, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuo-Chi Tu
  • Patent number: 7012010
    Abstract: In accordance with an aspect of the invention, a method of forming a trench isolation region includes forming a trench within a substrate. A silanol layer is formed to partially fill the trench and then converted, at least some of the silanol, to a compound including at least one of SiOn and RSiOn, where R includes an organic group. An electrically insulative material is formed over the converted silanol to fill the trench. In another aspect of the invention, a method of forming a trench isolation region includes forming a trench within a substrate. A first layer of at least one of Si(OH)x and (CH3)ySi(OH)4?y is formed to partially fill the trench. At least some of the Si(OH)x if present is converted to SiO2 and at least some of (CH3)ySi(OH)4?y if present is converted to (CH3)xSiO2?x. Next, a layer of an electrically insulative material is formed to fill the trench.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: March 14, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Trung Tri Doan, Gurtej S. Sandhu
  • Patent number: 7008834
    Abstract: A method for manufacturing a semiconductor device includes: forming a first photoresist pattern on a second hard mask by use of ArF; forming first and second openings in the second hard mask by use of the first photoresist pattern as an etching mask; forming third and fourth openings in a first hard mask under the first and second openings; forming a partial trench (first trench) and a trench for a full trench (second trench) in an SOI substrate (semiconductor substrate) under the first and second openings; and forming the trench for a full trench into a full trench by etching the trench for a full trench through the fourth opening exposed through a third window of a second photoresist pattern.
    Type: Grant
    Filed: May 13, 2004
    Date of Patent: March 7, 2006
    Assignee: Fujitsu Limited
    Inventors: Satoshi Nakai, Jun Sakuma, Mitsugu Tajima
  • Patent number: 7005339
    Abstract: The present invention provides a method of integrating at least one high voltage metal oxide semiconductor device and at least one Submicron metal oxide semiconductor device on a substrate. The method comprises: providing the substrate, forming a plurality of shallow trenches having different depths on a surface of the substrate, and forming a plurality of silicon oxide layers filling up the shallow trenches, and a top of each of the silicon oxide layers being in the shape of a mushroom.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: February 28, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Ching-Chun Huang, Ming-Hsien Huang, Rong-Ching Chen, Jy-Hwang Lin
  • Patent number: 7001713
    Abstract: A method of forming a partial reverse active mask. A mask pattern comprising a large active region pattern with an original dimension and a small active region pattern is provided. The large active region pattern and the small active region pattern are shrunk until the small active region pattern disappears. The large active region pattern enlarged to a dimension slightly smaller than the original dimension.
    Type: Grant
    Filed: November 21, 2001
    Date of Patent: February 21, 2006
    Assignee: United Microelectronics, Corp.
    Inventors: Coming Chen, Juan-Yuan Wu, Water Lur
  • Patent number: 6995074
    Abstract: A method for forming a semiconductor wafer such as a standard semiconductor wafer used in a surface analysis system. Openings may be formed by partially etching a semiconductor substrate, and an insulation film may be formed on the openings. Contact holes may be formed to expose portions of the semiconductor substrate and the insulation film in the openings. The contact holes may be inspected by the surface analysis system, and the reliability of data obtained from the surface analysis system may be more precisely discriminated.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: February 7, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Deok-Yong Kim
  • Patent number: 6995095
    Abstract: Shallow trench isolation structures are simultaneously fabricated such that ones in a cell region have first-type features and others in a periphery region have second-type features. The first-type features can be rounded edges or can be first depths and widths, and the second-type features can be unrounded edges or can be second depths and widths which are different from the first depths and widths. The method includes forming patterned photoresist over a hard mask over portions of a cell and a periphery region, and removing the exposed hard mask layer in the periphery region while removing a portion of the exposed hard mask layer in the cell region. A trench is then partially formed in the periphery region and more of the hard mask layer is removed in the cell region, followed by the trench in the periphery region being deepened while a trench in the cell region is formed.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: February 7, 2006
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsu-Sheng Yu
  • Patent number: 6992024
    Abstract: A method of filling a plurality of trenches etched in a substrate. In one embodiment the method includes depositing a layer of spin-on glass material over the substrate and into the plurality of trenches; exposing the layer of spin-on glass material to a solvent; curing the layer of spin-on glass material; and depositing a layer of silica glass over the cured spin-on glass layer using a chemical vapor deposition technique.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: January 31, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Rick J. Roberts, Michael S. Cox, Jun Zhao
  • Patent number: 6989317
    Abstract: A novel trench etching method for etching trenches of different depths which are self-aligned to one another is presented. The method comprises the steps of (a) creating first and second trenches of a same depth in a dielectric layer, wherein the second trench is wider than the first trench, (b) forming a conformal gapfill layer on top of the dielectric layer such that the conformal gapfill layer is thicker in the first trench than in the second trench, (c) etching back the conformal gapfill layer until a bottom wall of the second trench is exposed to the atmosphere while a bottom wall of the first trench is still covered by the conformal gapfill layer, (d) etching further into the dielectric layer via the second trench. As a result, the second trench is deeper than the first trench.
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: January 24, 2006
    Assignee: International Business Machines Corporation
    Inventors: Carl J. Radens, Jay W. Strane
  • Patent number: 6984553
    Abstract: In a manufacturing method for a shallow trench isolation, first, a multi-layer structure is formed over a semiconductor substrate. A first trench is formed in the multi-layer structure to define an isolation region and an active region. Sidewalls in the first trench are formed by depositing sidewall material over the multi-layer structure and surfaces of the first trench and etching the sidewall material. An isolation trench is then formed in the substrate by etching the substrate using the sidewalls and the multi-layer structure as a mask. Then the sidewalls are etched back to expose a portion of the substrate surface. Thermal oxidation is performed to oxidize the second trench, wherein the etched sidewalls and the multi-layer structure protect the substrate underneath from being oxidized. Then, the oxidized second trench is filled with a filling material and the whole structure is polished. The amount by which the sidewalls are etched back controls a bird beak that is formed in the active region.
    Type: Grant
    Filed: March 12, 2003
    Date of Patent: January 10, 2006
    Assignee: Macronix International Co., Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 6979628
    Abstract: Semiconductor devices and methods of forming devices that have field oxides in trenches are disclosed. According to the methods, a semiconductor substrate is prepared. An upper trench is formed at a predetermined region of the semiconductor substrate and a bottom trench is formed at a bottom surface of the upper trench. A field oxide is formed to fill the bottom trench and the upper trench. At this time, the upper trench has a wider width than the bottom trench.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: December 27, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Soo Kim, Kyu-Charn Park, Jung-Dal Choi, Seong-Soon Cho
  • Patent number: 6969625
    Abstract: A method and structure for a microelectronic device comprises a first film over a substrate, a first polish resistant layer over the first film, a second film over the first polish resistant layer, a second polish resistant layer over the second film, wherein the first and second polish resistant layers comprise diamond-like carbon. The first film comprises an electrically resistive material, while the second film comprises low resistance conductive material. The first film is an electrical resistor embodied as a magnetic read sensor. The electrically resistive material is sensitive to magnetic fields. The device further comprises a generally vertical junction between the first and second films and a dielectric film abutted to the electrically resistive material.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: November 29, 2005
    Assignee: International Business Machines Corporation
    Inventors: Marie-Claire Cyrille, Frederick H. Dill, Cherngye Hwang, Jui-Lung Li
  • Patent number: 6969666
    Abstract: A method for fabricating an isolation layer in a semiconductor device is disclosed. The method comprises the steps of: forming a pad oxide film and a pad nitride film sequentially on a semiconductor substrate defining a cell region and a peripheral region; forming a trench on the semiconductor substrate by etching the pad oxide film, the pad nitride film and the substrate; forming an oxide film of side walls on a surface of the trench; depositing an amorphous silicon film on a resultant substrate inclusive of the trench; etching the amorphous silicon film so that the trench is partly filled; depositing an insulation film on a resultant substrate so that the partly filled trench is filled completely; carrying out a CMP process of the insulation film to expose the pad nitride film; and removing the pad nitride film.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: November 29, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Seung Ho Pyi
  • Patent number: 6964894
    Abstract: A method of forming a MEMS device produces a device layer wafer having a pre-formed conductive pathway before coupling it with a handle wafer. To that end, the method produces the noted device layer wafer by 1) providing a material layer, 2) coupling a conductor to the material layer, and 3) forming at least two conductive paths through at least a portion of the material layer to the conductor. The method then provides the noted handle wafer, and couples the device layer wafer to the handle wafer. The wafers are coupled so that the conductor is contained between the material layer and the handle wafer.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: November 15, 2005
    Assignee: Analog Devices, Inc.
    Inventors: Bruce K. Wachtmann, Michael W. Judy
  • Patent number: 6958280
    Abstract: The present invention discloses method for manufacturing alignment mark wherein a predetermined thickness of a device isolation film is etched prior to removing a pad nitride film during a shallow trench isolation process to increase contrast. In accordance with the method, a pad nitride film pattern and a pad oxide film pattern exposing a predetermined portion of the semiconductor substrate are formed. The semiconductor substrate is etched using the pad nitride film pattern as a mask to form an alignment mark trench. A device isolation film is formed in the trench and a predetermined thickness of the device isolation film is etched to form an alignment mark. The pad nitride film pattern is then removed.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: October 25, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung Hwan Kim
  • Patent number: 6958281
    Abstract: Disclosure is a method for forming an alignment pattern of a semiconductor device.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: October 25, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Won Taik Kwon
  • Patent number: 6949444
    Abstract: A method for forming at least one conductive line intended to receive high-frequency or high-value currents, formed above a given portion of a solid substrate outside of which are formed other elements, including the steps of digging at least one trench in the solid substrate; forming an insulating area in the trench; and forming said conductive line above the insulating area.
    Type: Grant
    Filed: April 5, 2002
    Date of Patent: September 27, 2005
    Assignee: STMicroelectronics S.A.
    Inventors: Joaquim Torres, Vincent Arnal, Alexis Farcy