Including Organic Insulating Material Between Metal Levels Patents (Class 438/623)
  • Patent number: 7256118
    Abstract: A semiconductor element is formed over a surface of a semiconductor substrate. A first insulating film is formed over the surface of the semiconductor substrate, the first insulating film covering the semiconductor element. A second insulating film is formed over the first insulating film, the second insulating film having a dielectric constant lower than that of the first insulating film. A first wiring pattern is formed over the second insulating film. A conductive connection member buried in the second and first insulating films electrically interconnects the first wiring pattern and semiconductor element.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: August 14, 2007
    Assignee: Fujitsu Limited
    Inventors: Shun-ichi Fukuyama, Tamotsu Owada, Ken Sugimoto
  • Patent number: 7256499
    Abstract: An integrated circuit is provided including forming a porous ultra-low dielectric constant dielectric layer over a semiconductor substrate and forming an opening in the ultra-low dielectric constant dielectric layer. A dielectric liner is formed to line the opening to cover the pores in the ultra-low dielectric constant dielectric layer and a barrier layer is deposited to line the dielectric liner and conductor core is deposited to fill the opening over the barrier layer.
    Type: Grant
    Filed: September 19, 2005
    Date of Patent: August 14, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Fei Wang, Minh Quoc Tran, Lynne A. Okada
  • Patent number: 7253096
    Abstract: A bipolar transistor with raised extrinsic base and selectable self-alignment between the extrinsic base and the emitter is disclosed. The fabrication method may include the formation of a predefined thickness of a first extrinsic base layer of polysilicon or silicon on an intrinsic base. A dielectric landing pad is then formed by lithography on the first extrinsic base layer. Next, a second extrinsic base layer of polysilicon or silicon is formed on top of the dielectric landing pad to finalize the raised extrinsic base total thickness. An emitter opening is formed using lithography and RIE, where the second extrinsic base layer is etched stopping on the dielectric landing pad. The degree of self-alignment between the emitter and the raised extrinsic base is achieved by selecting the first extrinsic base layer thickness, the dielectric landing pad width, and the spacer width.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: August 7, 2007
    Assignee: International Business Machines Corporation
    Inventors: Marwan H. Khater, James S. Dunn, David L. Harame, Alvin J. Joseph, Qizhi Liu, Francois Pagette, Stephen A. St. Onge, Andreas D. Stricker
  • Patent number: 7247177
    Abstract: A method of manufacturing electric double layer capacitors is disclosed. The method assumes a model in which solute is dissolved in solvent before preparing electrolyte, and estimates a withstanding voltage through a simulation. The electrolyte, of which withstanding voltage is expected to exceed a target value, is selectively prepared. The method adjusts respective surface areas of the positive electrode and the negative electrode of the capacitor for making full use of the withstanding voltage of the electrolyte. According to this method, a time for developing electrolyte can be substantially shortened, and an electric double layer capacitor having a high withstanding voltage can be efficiently developed.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: July 24, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroyuki Maeshima, Kiyohiro Ishii, Hiroki Moriwake
  • Patent number: 7244673
    Abstract: A structure for a multi-level interconnect inter-level dielectric layer (ILD), a method of manufacturing thereof, and a semiconductor device including the ILD layer. The ILD layer includes a first low-dielectric constant material sub-layer, and a second low-dielectric constant material sub-layer disposed over the first low-dielectric constant material sub-layer. The second low-dielectric constant material sub-layer has at least one different material property than the first low-dielectric constant material sub-layer. A third low-dielectric constant material sub-layer is disposed over the second low-dielectric constant material sub-layer, the third low-dielectric constant material sub-layer having at least one different material property than the second low-dielectric constant material sub-layer.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: July 17, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tai-Chun Huang, Chih-Hsiang Yao, Yih-Hsiung Lin, Tien-I Bao, Bi-Trong Chen, Yung-Cheng Lu
  • Patent number: 7241684
    Abstract: A method for forming a metal wiring of a semiconductor device. The method includes forming an etch stop layer on a semiconductor substrate, forming a first inter metal dielectric on the etch stop layer, and forming a second inter metal dielectric on the first inter metal dielectric. The method also includes forming a first photoresist pattern defining a via hole on the second inter metal dielectric, forming a via hole exposing the etch stop layer using the first photo resist pattern, and forming a second photoresist pattern defining a trench by exposing and developing the first photoresist pattern. The method further includes forming a trench by etching the second inter metal dielectric using the second photoresist pattern as a mask, removing the etch stop layer exposed through the via hole, and forming a metal wiring by filling the via hole and the trench.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: July 10, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joon-Yeon Cho
  • Patent number: 7241681
    Abstract: A metal hardmask for use with a Dual Damascene process used in the manufacturing of semiconductor devices. The metal hardmask has advantageous translucent characteristics to facilitate alignment between levels while fabricating a semiconductor device and avoids the formation of metal oxide residue deposits. The metal hardmask comprises a first or primary layer of TiN (titanium nitride) and a second or capping layer of TaN (tantalum nitride).
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: July 10, 2007
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Kaushik Kumar, Lawrence Clevenger, Timothy Dalton, Douglas C. La Tulipe, Andy Cowley, Erdem Kaltalioglu, Jochen Schacht, Andrew H. Simon, Mark Hoinkis, Steffen K. Kaldor, Chih-Chao Yang
  • Patent number: 7238626
    Abstract: A method of stabilizing a poly(paraxylylene) dielectric thin film after forming the dielectric thin film via transport polymerization is disclosed, wherein the method includes annealing the dielectric thin film under at least one of a reductive atmosphere and a vacuum at a temperature above a reversible solid phase transition temperature of the dielectric film to convert the film from a lower temperature phase to a higher temperature phase, and cooling the dielectric thin film at a sufficient rate to a temperature below the solid phase transition temperature of the dielectric thin film to trap substantial portions of the film in the higher temperature phase.
    Type: Grant
    Filed: December 21, 2004
    Date of Patent: July 3, 2007
    Assignee: Dielectric Systems, Inc.
    Inventors: Chung J. Lee, Atul Kumar
  • Patent number: 7238605
    Abstract: An apparatus including a contact point formed on a device layer of a circuit substrate or an interconnect layer on the substrate; a first dielectric material; and a different second polymerizable dielectric material on the substrate and separated from the device layer or the interconnect layer by the first dielectric material following polymerization, the second dielectric material comprising a glass transition temperature of at least 250° C. and a thermal decomposition temperature of at least 400° C. A method including depositing a dielectric material and thermally treating the dielectric material at a temperature greater than the thermal decomposition temperature.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: July 3, 2007
    Assignee: Intel Corporation
    Inventor: Daoqiang Lu
  • Patent number: 7235477
    Abstract: The present invention is directed to a multi-layer interconnection circuit module in which plural unit wiring layers are interlayer-connected to each other through a large number of via holes so that they are laminated and formed, wherein respective unit wiring layers (8) to (12) are adapted so that photo-lithographic processing is implemented to a first insulating layer (22) formed by photosensitive insulating resin material to form via hole grooves (25), and photo-lithographic processing is implemented to a second insulating layer (23) formed by photosensitive insulating resin material on the first insulating layer (22) to form wiring grooves (27).
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: June 26, 2007
    Assignee: Sony Corporation
    Inventor: Tsuyoshi Ogawa
  • Patent number: 7232750
    Abstract: Methods for improving memory retention properties of a polymer memory cell are disclosed. The methods include forming a first electrode, depositing a passive layer over the first electrode, forming a semiconducting polymer layer containing at least one semiconducting polymer with at least one charge carrier-binding group over the passive layer, and forming a second electrode. The charge carrier-binding groups can be incorporated into semiconducting polymers either as side groups or into the main chain of semiconducting polymers.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: June 19, 2007
    Assignee: Spansion LLC
    Inventor: Richard P. Kingsborough
  • Patent number: 7232749
    Abstract: An integrated circuit inductance and the fabrication method thereof are disclosed. The manufacture process provided by the present invention fabricates an integrated circuit inductance having a simple production process, low cost, a near equal loop size and good performance, due to making the order of the planarization processes of the inductance loops substantially perpendicular to the wafer and the direction of the current of the inductance substantially in parallel with the wafer, by way of the manufacture process of the plugs and the conductive wires of the integrated-circuit process.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: June 19, 2007
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Min-Lung Huang
  • Patent number: 7229908
    Abstract: A system and method is described for manufacturing an out of plane integrated circuit inductor. A plurality of parallel metal bars are formed on a substrate and covered with a first passivation layer. A ferromagnetic core is then deposited over the first passivation layer with its length perpendicular to the plurality of parallel metal bars. A second passivation layer is deposited over the ferromagnetic core and vias are etched through the passivation layers to the alternate ends of the underlying parallel metal bars. A plurality of cross connection metal bars are then formed on the second passivation layer with vertical portions that fill the vias and connect the alternate ends of the plurality of parallel metal bars to form an inductor coil. A third passivation layer is then deposited over the cross connection metal bars.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: June 12, 2007
    Assignee: National Semiconductor Corporation
    Inventors: Sergei Drizlikh, Todd Thibeault
  • Patent number: 7227179
    Abstract: Crosslinkable liquid crystalline polymer compositions for use as dielectric materials in circuit materials, circuits, and multi-layer circuits are disclosed. The crosslinkable liquid crystalline polymer compositions comprise crosslinkable liquid crystalline polymers that preferably comprise end groups selected from the group consisting of phenyl maleimide, nadimide, phenyl acetylene, or combinations of the foregoing. Additionally, the crosslinkable liquid crystalline polymer compositions may further comprise particulate fillers and/or fibrous webs. The crosslinkable liquid crystalline polymer compositions provided improved electrical and mechanical properties.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: June 5, 2007
    Assignee: World Properties, Inc.
    Inventors: Michael E. St. Lawrence, Murali Sethumadhavan, Scott D. Kennedy
  • Patent number: 7223688
    Abstract: An apparatus including a volume of phase change material disposed between a first conductor and a second conductor on a substrate, and a plurality of electrodes coupled to the volume of phase change material and the first conductor. A method including introducing, over a first conductor on a substrate, a plurality of electrodes coupled to the first conductor, introducing a phase change material over the plurality of electrodes and in electrical communication with the plurality of electrodes, and introducing a second conductor over the phase change material and coupled to the phase change material.
    Type: Grant
    Filed: March 14, 2003
    Date of Patent: May 29, 2007
    Assignee: Ovonyx, Inc.
    Inventors: Tyler A. Lowrey, Manzur Gill
  • Patent number: 7211504
    Abstract: A process is provided for the selective metallization of 3D structures, particularly for the selective gold-plating of 3D contact structures on wafers, such as contact bumps, which are electrically connected to a bond pad on the wafer via a three-dimensional, mechanically flexible structure in the form of a redistribution layer, for subsequent electrical connection to a carrier element, e.g., a printed circuit board. The process is intended to considerably simplify the process sequence. The metallization of the previously prepared 3D structures on the wafer is carried out electrochemically, under current or potential control, by the structures being partially immersed in an electrolyte with a fixed surface. The electrolyte can be covered with a membrane which is permeable to the corresponding ions, or alternatively a gel electrolyte may be used.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: May 1, 2007
    Assignee: Infineon Technologies AG
    Inventor: Ingo Uhlendorf
  • Patent number: 7208402
    Abstract: An apparatus comprising: a die having a top metal layer, the top metal layer comprised of at least a first metal line and a second metal line; a passivation layer covering the top metal layer; a C4 bump on the passivation layer; and a first passivation opening and a second passivation opening in the passivation layer, the first passivation opening to connect the first metal line to the C4 bump, and the second passivation opening to connect the second metal line to the C4 bump.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: April 24, 2007
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Robert W. Martell
  • Patent number: 7205230
    Abstract: A process for manufacturing a wiring board comprising a substrate made of an insulation material and having first and second surfaces, first and second conductor patterns formed on the first and second surfaces, respectively, and a via conductor penetrating the substrate to electrically connect the first conductor pattern with the second conductor pattern; the process comprising the following steps of: forming the substrate with a through-hole penetrating thereto and defining openings at the first and second surfaces, respectively; plating the substrate with a metal so that a metal layer having a predetermined thickness is formed on the respective first and second surfaces of the substrate and the through-hole is substantially filled with the metal to be the via conductor; irradiating a laser beam, as a plurality of spots, around a metal-less portion of the plated metal, such as a dimple or seam, at positions corresponding to the openings of the through-hole, so that the a part of the plated metal melts to fi
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: April 17, 2007
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventor: Naohiro Mashino
  • Patent number: 7205224
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally liable groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: April 17, 2007
    Assignee: Applied Materials, Inc.
    Inventor: Robert P. Mandal
  • Patent number: 7202157
    Abstract: A method for forming a metallic interconnect in a semiconductor device is disclosed. An example method forms an IDL on a substrate including predetermined devices, forms a via hole in the IDL, depositing a first metal diffusion preventive layer and a metal layer to form a via plug on the IDL, and performs a planarization process using the first metal diffusion preventive layer using as an etching stop layer. In addition, the example method forms a metallic interconnect on the first metal diffusion preventive layer, deposits the other metal diffusion preventive layer on the metallic interconnect, and etches a predetermined part of first and second metal diffusion preventive layers and the metallic interconnect using a mask pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 10, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Soo Ahn
  • Patent number: 7202159
    Abstract: The present invention provides a method for forming a diffusion barrier layer, a diffusion barrier in an integrated circuit and an integrated circuit. The method for forming a diffusion barrier involves the following steps: 1) preparing a silicon substrate; 2) contacting the silicon substrate with a composition comprising self-assembled monolayer subunits and a solvent; and, 3) removing the solvent. The diffusion barrier layer includes a self-assembled monolayer. The integrated circuit includes a silicon substrate, a diffusion barrier layer and a metal deposited on the diffusion barrier layer. The diffusion barrier layer in the integrated circuit is covalently attached to the silicon substrate and includes a self-assembled monolayer.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: April 10, 2007
    Assignee: Rensselaer Polytechnic Institute
    Inventors: Ramanath Ganapathiraman, Ahila Krishnamoorthy, Kaushik Chanda, Shyam P. Murarka
  • Patent number: 7202496
    Abstract: The present method prevents malfunctions in switching caused by a light leakage current in an active matrix type thin film transistor substrate for a liquid crystal display and prevents display failures, by selectively disposing a self assembled monolayer film in a gate electrode-projected region of the surface of an insulator film with high definition, and by selectively improving the orientation order of an organic semiconductor film only in the gate electrode-projected region without improving the order at an irradiated portion with light outside the gate electrode-projected region.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: April 10, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Masahiko Ando, Masatoshi Wakagi, Hiroshi Sasaki
  • Patent number: 7196002
    Abstract: A method for fabricating dual damascene structures having improved IC performance and reduced RC delay characteristics is provided. In one embodiment, a substrate with an etch stop layer formed thereon is provided. A dielectric layer is formed on the etch stop layer and an anti-reflective coating layer is formed on the dielectric layer. A first patterned photoresist layer having a via hole pattern is formed on the anti-reflective coating layer. The via hole pattern is thereafter etched through the anti-reflective coating layer, the dielectric layer, and the etch stop layer to form a via hole. A sacrificial via fill layer is filled in the via hole. A second patterned photoresist layer having a trench pattern is formed above the sacrificial via fill layer. The trench pattern is etched into the sacrificial via fill layer, the anti-reflective coating layer, and the dielectric layer to form a trench. The sacrificial via fill layer is removed in the via hole.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: March 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Nien Su, Yi-Chen Huang, Jyu-Horng Shieh
  • Patent number: 7189637
    Abstract: An insulating portion of the respective wiring layers for a semiconductor device is constituted of insulating films. The one insulating film is made of a material whose conductivity is higher than that of the other insulating film that is made of an ordinary silicon oxide film and is provided in contact with the wiring. An electric charge accumulated in the wiring generated in the course of manufacture of the semiconductor device is discharged through the one insulating film at a stage where a charge accumulation in the wiring is low. This permits the heat release value generated through the discharge can be suppressed to a low level, and the short-circuiting failure between adjacent wirings can be suppressed or prevented.
    Type: Grant
    Filed: December 11, 2003
    Date of Patent: March 13, 2007
    Assignees: Renesas Technology Corp., Hitachi Ulsi Systems Co., Ltd.
    Inventors: Ken Uchikoshi, Naokatsu Suwanai, Atsushi Tachigami, Katsuhiko Hotta, Masashi Sahara, Kazuhiko Sato
  • Patent number: 7189657
    Abstract: A convenient method of depositing chemical protection material on the surface of a semiconductor substrate whereby deposition of contaminating substances after a clean surface has been obtained can be prevented and maintaining of this surface performed includes a process of depositing a high molecular straight-chain organic compound 3 onto a highly clean surface 2 of a semiconductor substrate 1 during semiconductor washing or after semiconductor washing of the semiconductor substrate.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: March 13, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Noriko Tomita, Takashi Ohsako
  • Patent number: 7186641
    Abstract: A method of forming metal interconnection line for a semiconductor device being capable of forming a plug without voids irrespective of aspect ratios is provided. In one example, the method includes forming a first metal layer on a semiconductor substrate; forming a second metal layer on the first metal layer; forming the plugs by patterning the second metal layer; forming the lower metal interconnection lines by patterning the first metal layer; and forming an interlayer insulating layer having a planarized surface on the substrate to fill gaps between the lower metal lines and between the plugs.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: March 6, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Seung Hyun Kim
  • Patent number: 7179399
    Abstract: A material for forming a protective film comprising an organic solvent and a compound having at least two alicyclic structures.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: February 20, 2007
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun Koshiyama, Kazumasa Wakiya
  • Patent number: 7180195
    Abstract: An apparatus comprising: a die having a top metal layer, the top metal layer comprised of at least a first metal line and a second metal line; a passivation layer covering the top metal layer; a C4 bump on the passivation layer; and a first passivation opening and a second passivation opening in the passivation layer, the first passivation opening to connect the first metal line to the C4 bump, and the second passivation opening to connect the second metal line to the C4 bump.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: February 20, 2007
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Robert W. Martell
  • Patent number: 7179734
    Abstract: Disclosed is a method for forming a dual damascene pattern. The method includes the steps of forming a lower conductive structure on a lower insulating layer, forming a first protective film, a first insulating film, a second insulating film, a third insulating film, and a second protective film, sequentially, on the lower insulating layer and the lower conductive structure, forming a via hole up to a predetermined depth of the second insulating film through the second protective film and the third insulating film, forming a trench up to the predetermined depth of the second insulating film through the second protective film and the third insulating film, and simultaneously, extending the via hole up to a point at which the first protective film is exposed, and selectively etching the first protective film exposed through the via hole to expose the lower conductive pattern and form the dual damascene pattern.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: February 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Dong-Yeal Keum
  • Patent number: 7179732
    Abstract: An interconnection structure and a fabrication method thereof. A first organic low-k material layer, a stress redistribution layer, a second organic low-k dielectric layer are formed in sequence over a substrate, followed by forming an opening in the first organic low-k material layer, the stress redistribution layer, and the second organic low-k dielectric layer. The opening is then filled with a conductive material to form an interconnection structure. The stress redistribution layer has a heat expansion coefficient closer to that of the substrate, while such heat expansion coefficient differs more significantly from those of the first and second organic low-k material layers.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: February 20, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Chiung-Sheng Hsiung, Chih-Chao Yang, Gwo-Shil Yang, Ming-Shih Yeh, Jen-Kon Chen
  • Patent number: 7169698
    Abstract: The present invention provides a method of forming a rigid interconnect structure, and the device therefrom, including the steps of providing a lower metal wiring layer having first metal lines positioned within a lower low-k dielectric; depositing an upper low-k dielectric atop the lower metal wiring layer; etching at least one portion of the upper low-k dielectric to provide at least one via to the first metal lines; forming rigid dielectric sidewall spacers in at least one via of the upper low-k dielectric; and forming second metal lines in at least one portion of the upper low-k dielectric. The rigid dielectric sidewall spacers may comprise of SiCH, SiC, SiNH, SiN, or SiO2. Alternatively, the via region of the interconnect structure may be strengthened with a mechanically rigid dielectric comprising SiO2, SiCOH, or doped silicate glass.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: January 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Patent number: 7166531
    Abstract: Porous dielectric layers are produced by introducing pores in pre-formed composite dielectric layers. The pores may be produced after the barrier material, the metal or other conductive material is deposited to form a metallization layer. In this manner, the conductive material is provided with a relatively smooth continuous surface on which to deposit.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: January 23, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Willibrordus Gerardus Maria van den Hoek, Nerissa S. Draeger, Raashina Humayun, Richard S. Hill, Jianing Sun, Gary William Ray
  • Patent number: 7166545
    Abstract: The invention aims at providing a dielectric film having a low dielectric constant and enhanced mechanical strength. A surfactant and an silica derivative are dissolved into a solvent at a desired mole ratio. The precursor solution is applied over the substrate, and the substrate is exposed to a silica derivative atmosphere before being sintered, thereby supplying a silica derivative. Thus, contraction of the film stemming from hydrolysis is inhibited, and a sturdy mesoporous silica thin film which takes the self-assembly of the surfactant as a mold is obtained while cavities are maintained intact without being fractured. Thus, there is formed an inorganic dielectric film which is formed on the surface of the substrate and has a cyclic porous structure including layered or columnar pores oriented so as to become parallel with the surface of the substrate.
    Type: Grant
    Filed: September 17, 2002
    Date of Patent: January 23, 2007
    Assignee: Rohm Co., Ltd.
    Inventors: Norikazu Nishiyama, Korekazu Ueyama, Yoshiaki Oku
  • Patent number: 7157367
    Abstract: A substrate is provided having semiconductor device structures formed in and on the substrate. The semiconductor device structures comprise conductor layers embedded in openings in dielectric layers having a dielectric constant of less than 4.5. The dielectric layer has a roughness between the dielectric and the conductor wherein the roughness of the dielectric layer divided by the thickness of a barrier layer underlying the conductor layer is 0 to 1. The integrated circuit structure is prepared for failure analysis by removing the low dielectric constant dielectric layers and exposing the conductor layers for further failure analysis by optical examination or scanning electron microscope (SEM).
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: January 2, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hway Chi Lin, Yi-Lung Cheng, Chao-Hsiung Wang
  • Patent number: 7151052
    Abstract: Described are methods and structures for mitigating the effects of mechanical stresses placed on the layers of semiconductor devices, and specifically disclosed are methods and structures for mitigating the diminished chemical bonds between etch-stop layers and other semiconductor device layers. The disclosed methods and structures use different structures and/or processes for some of the etch-stop layers in a device.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: December 19, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tai-Chun Huang, Chih-Hsiang Yao, Kuan-Shou Chi, Chin-Chiu Hsia, Mong-Song Liang
  • Patent number: 7148103
    Abstract: Method of manufacturing a semiconductor device, including a first baseline technology electronic circuit (1) and a second option technology electronic circuit (2) as functional parts of a system-on-chip, by: manufacturing the first electronic circuit (1) with a first conductive layer (6; 6) that is patterned by subjecting an exposed layer portion thereof to Reactive Ion Etching (RIE); manufacturing the second electronic circuit (2) with a second conductive layer (6; 8) that is patterned by subjecting an exposed layer portion thereof to RIE; providing a tile structure (25; 26); providing the tile structure (25; 26) with at least one dummy conductive layer (6; 8) produced in the same processing step as the second conductive layer (6; 8); and exposing the dummy conductive layer (6; 8), at least partially, to obtain an exposed dummy layer portion, and RIE-etching of that exposed portion too when the second (6; 8) conductive layer is subjected to RIE.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: December 12, 2006
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Antonius Maria Petrus Johannes Hendriks, Guido Jozef Maria Dormans, Robertus Dominicus Joseph Verhaar
  • Patent number: 7144828
    Abstract: A method of improving adhesion of low dielectric constant films to other dielectric films is described. A low dielectric constant material layer is deposited on a substrate. The low dielectric constant material layer is treated with helium plasma. An overlying layer is deposited on the low dielectric constant material layer wherein there is good adhesion between the low dielectric constant material layer and the overlying layer.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: December 5, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wei Lu, Luona Goh Loh Nah, John Sudijono
  • Patent number: 7144808
    Abstract: The present invention provides, in one embodiment, method of forming a barrier layer 300 over a semiconductor substrate 110. The method comprises forming an opening 120 in an insulating layer 130 located over a substrate thereby uncovering an underlying copper layer 140. The method further comprises exposing the opening and the underlying copper layer to a plasma-free reducing atmosphere 200 in the presence of a thermal anneal. The also comprises depositing a barrier layer in the exposed opening and on the exposed underlying copper layer. Such methods and resulting conductive structures thereof may be advantageously used in methods to manufacture integrated circuits comprising copper interconnects.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor
  • Patent number: 7135400
    Abstract: A method for avoiding resist poisoning during a damascene process is disclosed. A semiconductor substrate is provided with a low-k dielectric layer (k?2.9) thereon, a SiC layer over the low-k dielectric layer, and a blocking layer over the SiC layer. The blocking layer is used to prevent unpolymerized precursors diffused out from the low-k dielectric layer from contacting an overlying resist. A bottom anti-reflection coating (BARC) layer is formed on the blocking layer. A resist layer is formed on the BARC layer, the resist layer having an opening to expose a portion of the BARC layer. A damascene structure is formed in the low-k dielectric layer by etching the BARC layer, the blocking layer, the SiC layer, and the low-k dielectric layer through the opening.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: November 14, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Liang Lien, Charlie C J Lee, Chih-Ning Wu, Jain-Hon Chen
  • Patent number: 7129159
    Abstract: A dual damascene conductor structure is formed on a substrate with an exposed conductor on top covered by a buried cap, a dielectric layer (DL) and an organic layer (OL). Form a lower via hard mask layers over the OL and form a top trench patterning hard mask over the lower, via hard mask. Form a trench pattern hole through the trench hard mask layer; and form a via pattern hole through the via hard mask layer in a region exposed below the trench pattern hole. Etch a via pattern hole into the OL and then etch a via pattern hole down into the DL. Etch away the trench pattern layer and the OL layer below the trench pattern hole. Etch the via hole through the DL exposing the cap while simultaneously partially etching the DL to a final trench depth to form a trench in the DL below the trench pattern hole, with the trench having a bottom above the cap and sidewalls in the DL.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: October 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: William G. America, Steven H. Johnston
  • Patent number: 7125794
    Abstract: A first CVD dielectric layer is deposited on a surface of a semiconductor substrate. Next, low-k layers are deposited in at least two different steps to form one of a via-layer dielectric film and a wiring-layer dielectric film on the first CVD dielectric layer. Immediately after the depositions, thermal treatment is performed. A second CVD dielectric layer is deposited on the low-k layers. A groove is formed in the second CVD dielectric layer and the low-k layers. A metal layer is deposited on that structure, filling the groove. The metal layer is removed from the second CVD dielectric layer by chemical mechanical polishing.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: October 24, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Seiichi Kondo, Kaori Misawa, Shunichi Tokitoh, Takashi Nasuno
  • Patent number: 7119007
    Abstract: The method includes forming on an underlayer wiring a first insulating film, a second insulating, and first mask forming layer; forming a first resist mask having an inverted pattern of wiring Wenches for the upper wiring; etching the first mask forming layer through the first resist mask, thereby forming in the first mask forming layer a concave part conforming to the inverted pattern of wiring tenches for the upper wiring, forming on the first mask forming layer a second mask forming layer, thereby filling the concave part with the second mask forming layer; selectively removing the second mask forming layer on the region in which the wiring trench is formed, thereby forming the second mask having the wiring trench pattern; forming on the first mask forming layer a second resist mask having an opening pattern of the via holes; etching the first mask forming layer and the second insulating film through the second resist mask, thereby forming the via holes.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: October 10, 2006
    Assignee: Sony Corporation
    Inventor: Ryuichi Kanamura
  • Patent number: 7109108
    Abstract: A thin film transistor device reduced substantially in resistance between the source and the drain by incorporating a silicide film, which is fabricated by a process comprising forming a gate insulator film and a gate contact on a silicon substrate, anodically oxidizing the gate contact, covering an exposed surface of the silicon semiconductor with a metal, and irradiating an intense light such as a laser beam to the metal film either from the upper side or from an insulator substrate side to allow the metal coating to react with silicon to obtain a silicide film. The metal silicide layer may be obtained otherwise by tightly adhering a metal coating to the exposed source and drain regions using an insulator formed into an approximately triangular shape, preferably 1 ?m or less in width, and allowing the metal to react with silicon. A high performance TFT can be realized.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: September 19, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yasuhiko Takemura, Hongyong Zhang, Satoshi Teramoto
  • Patent number: 7101784
    Abstract: The invention provides a method of forming a wiring layer in an integrated circuit structure that forms an organic insulator, patterns the insulator, deposits a liner on the insulator, and exposes the structure to a plasma to form pores in the insulator in regions next to the liner. The liner is formed thin enough to allow the plasma to pass through the liner and form the pores in the insulator. During the plasma processing, the plasma passes through the liner without affecting the liner. After the plasma processing, additional liner material may be deposited. After this, a conductor is deposited and excess of portions of the conductor are removed from the structure such that the conductor only remains within patterned portions of the insulator. This method produces an integrated circuit structure that has an organic insulator having patterned features, a liner lining the patterned features, and a conductor filling the patterned features.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: September 5, 2006
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Stephen E. Greco, Keith T. Kwietniak, Soon-Cheon Seo, Chih-Chao Yang, Yun-Yu Wang, Kwong H. Wong
  • Patent number: 7098061
    Abstract: A method for forming an electronic device, comprising: forming a first conductive or semiconductive layer; forming a sequence of at least on insulating layer and at least one semiconducting layer over the first conductive or semiconductive layer; locally depositing solvents at a localised region of the insulating layer so as to dissolve the sequence of insulating and semiconducting layers in the region to leave a void extending through the sequence of layer; and depositing conductive or semiconductive material in the void.
    Type: Grant
    Filed: June 21, 2002
    Date of Patent: August 29, 2006
    Assignee: Plastic Logic Limited
    Inventors: Henning Sirringhaus, Richard Henry Friend, Takeo Kawase
  • Patent number: 7098127
    Abstract: A semiconductor device is provided with a plurality of protrusions which are made of a resin and which protrude higher than electrodes, and conductive layers which are electrically connected to the electrodes and which cover the top surfaces of the protrusions. A method for manufacturing the semiconductor device includes a step of applying a layer of the resin to the semiconductor device except for the electrodes, a step of patterning the conductive layers on the electrodes and the layer of the resin in accordance with the protrusions, and a step of removing the layer of the resin located between the conductive layers by the use of the patterned conductive layers as masks so as to form the protrusions.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: August 29, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Haruki Ito
  • Patent number: 7094681
    Abstract: Disclosed is a semiconductor device comprising a semiconductor substrate, a porous insulating film formed above the semiconductor substrate, the porous insulating film having a relative dielectric constant of 2.5 or less and including a first insulating material, at least a portion of pores in the porous insulating film having on the inner wall thereof a layer of a second insulating material which differs in nature from the first insulating material, and a plug and/or a wiring layer buried in the porous insulating film.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: August 22, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Keiji Fujita, Rempei Nakata, Hideshi Miyajima
  • Patent number: 7094683
    Abstract: A method for forming a dual damascene opening to protect a low-K dielectric insulating layer including providing a semiconductor process wafer comprising a via opening extending though a thickness portion of at least one dielectric insulating layer; depositing a first dielectric layer stack layer comprising at least one dielectric insulating layer over the at least one dielectric insulating to seal the via opening; blanket depositing a second dielectric layer stack comprising at least one dielectric layer to form a hardmask over and contacting the first dielectric layer stack; photolithographically patterning and etching through a thickness of the hardmask and the first dielectric layer stack to form a trench opening etching pattern overlying and encompassing the via opening while leaving the via opening sealed; and, etching through a thickness portion of the at least one dielectric insulating layer to form a dual damascene opening.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: August 22, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chen-Nan Yeh, Yung-Cheng Lu
  • Patent number: 7095119
    Abstract: A semiconductor device is equipped with fuses each made of a conductive material vertically extended through an insulator layer employed in the semiconductor device. Holes are formed which vertically penetrate the insulator layer. Sidewalls are formed on their corresponding wall surfaces of the holes. The holes formed with the sidewalls are buried with a conductive material.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: August 22, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Shunji Takase
  • Patent number: 7091611
    Abstract: Structures and methods are provided for an improved multilevel wiring interconnect in an integrated circuit assembly. The present invention provides for a multilayer copper wiring structure by electroless, selectively deposited copper in a streamlined process which further reduces both intra-level line to line capacitance and the inter-level capacitance. In particular, an illustrative embodiment of the present invention includes a novel methodology for forming multilevel wiring interconnects in an integrated circuit assembly. The method includes forming a number of multilayer metal lines, e.g. copper lines formed by selective electroless plating, separated by air gaps above a substrate. A low dielectric constant material is deposited between the number of metal lines and the substrate using a directional process. According to the teachings of the present invention, using a directional process includes maintaining a number of air gaps in the low dielectric constant material.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes