Planarization Patents (Class 438/626)
  • Patent number: 7351635
    Abstract: Methods of fabricating a microelectronic device having improved performance characteristics are disclosed which are characterized by using super critical fluid to perform a material removal step. In one illustrative embodiment, the method includes preparing a substrate, forming an HSQ layer covering at least a portion of the substrate, and thereafter removing at least portions of the HSQ layer using super critical fluid CO2.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Cheol Han, Jun-Hwan Oh
  • Patent number: 7348272
    Abstract: A method of fabricating interconnect is described. A first dielectric layer having an opening is formed over a substrate. A metal layer is filled into the opening. A material layer is formed over the first dielectric layer and the metal layer. A surface treatment process is performed to the material layer so as to form a cap layer on the surface of the metal layer. The material layer and a portion of the first dielectric layer are removed. A second dielectric layer is formed over the substrate, and the surface of the second dielectric layer is higher than that of the cap layer. A planarization process is performed at least to remove a portion of the second dielectric layer and a portion of the cap layer so as to expose the top of the opening.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: March 25, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Shu-Jen Sung
  • Patent number: 7341937
    Abstract: Disclosed is a semiconductor device having a precision-worked dual damascene structure. A semiconductor substrate is obtained by forming at least a first interlayer film, an etching stopper film, a second interlayer film, a first hard mask and a second hard mask on a substrate in the order mentioned, the second hard mask being formed to have a trench pattern. At least a light absorbing sacrificial film, which has an etching rate different from that of a photoresist and is removable by use of a stripping solution, is formed on the semiconductor substrate in such a manner that the overall surface thereof will be flat. The photoresist is formed on the light absorbing sacrificial film and has an aperture pattern whose opening width is less than that of the trench pattern. At least the light absorbing sacrificial film, the first hard mask and the second interlayer film are etched selectively, one after the other, using the photoresist as an etching mask.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: March 11, 2008
    Assignee: NEC Electronics Corporation
    Inventors: Koji Arita, Masayoshi Tagami, Hidenobu Miyamoto
  • Publication number: 20080054413
    Abstract: A method of forming a dual segment liner covering a first and a second set of semiconductor devices is provided. The method includes forming a first liner and a first protective layer on top thereof, the first liner covering the first set of semiconductor devices; forming a second liner, the second liner having a first section covering the first protective layer, a transitional section, and a second section covering the second set of semiconductor devices, the second section being self-aligned to the first liner via the transitional section; forming a second protective layer on top of the second section of the second liner; removing the first section and at least part of the transitional section of the second liner; and obtaining the dual segment liner including the first liner, the transitional section and the second section of the second liner. A semiconductor structure with a self-aligned dual segment liner formed in accordance with one embodiment of the invention is also provided.
    Type: Application
    Filed: August 30, 2006
    Publication date: March 6, 2008
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, INFINEON TECHNOLOGIES NORTH AMERICA CORP.
    Inventors: Thomas W. Dyer, Sunfei Fang, Jiang Yan
  • Patent number: 7338884
    Abstract: An interconnecting substrate for carrying a semiconductor device, comprising: an insulating layer; an interconnection set on an obverse surface of the insulating layer; an electrode which is set on a reverse surface side of the insulating layer and formed in such a way that, at least, a lateral face of an obverse end of the electrode is all round brought into contact with the insulating layer, while, at least, a reverse surface of the electrode is not in contact with said insulating layer; a via conductor which is disposed on an obverse surface of the electrode and formed in the insulating layer so as to connect this electrode with the interconnection; and a supporting structure on the surface of the insulating layer.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: March 4, 2008
    Assignee: NEC Corporation
    Inventors: Tadanori Shimoto, Katsumi Kikuchi, Koji Matsui, Kazuhiro Baba
  • Patent number: 7329953
    Abstract: A method for fabricating an insulating layer having contact openings of varying depths for logic/DRAM circuits is achieved using a single mask and etch step. After forming stacked or trench capacitors, a planar insulating layer is formed. Contact openings are etched in the planar insulating layer to the substrate, and contact openings that extend over the edge of the stacked or trench capacitor top electrode, having an ARC, are etched using a novel mask design and a single etching step. This allows one to make contacts to the substrate without overetching while making low-resistance contacts to the sidewall of the capacitor top electrode. In the trench capacitor open areas are formed to facilitate making contact openings that extend over the top electrode. A series of contact openings that are skewed or elongated also improve the latitude in alignment tolerance.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: February 12, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuo-Chi Tu
  • Patent number: 7326632
    Abstract: A method for fabricating metal wirings of a semiconductor including forming an etch stop layer on a semiconductor substrate, and forming an inter metal dielectric on the etch stop layer. The method also includes forming a via hole in the inter metal dielectric so as to expose the etch stop layer, and forming a trench on the inter metal dielectric so as to expose the via hole. The method further includes removing the etch stop layer exposed through the via hole, wet etching an inner wall of the trench, and forming a metal wiring inside the via hole and the trench.
    Type: Grant
    Filed: January 3, 2005
    Date of Patent: February 5, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jea-Hee Kim
  • Patent number: 7323407
    Abstract: Methods of fabricating dual damascene interconnections suitable for use in microelectronic devices and similar applications using a diffusion barrier layer to protect against base materials during processing are provided. The methods include the steps of: filling a via with a hydrogen silsesquioxane (HSQ)-based filler as expressed by the general chemical formula: (RSiO3/2)x(HSiO3/2)y, wherein x and y satisfy the relationships x+y=1 and 0<x<y<1, and R is selected from C4-C24 alkyl, C4-C24 alkenyl, C4-C24 alkoxy, C8-C24 alkenoxy, substituted C4-C24 hydrocarbon, non-substituted C1-C4 hydrocarbon or substituted C1-C4 hydrocarbon; and, partially etching the filler filling the via and an interlayer dielectric to form a trench, which is connected to the via in the region where the dual damascene interconnections are to be formed. Then, the filler remaining in the via is removed, and the trench and the via are filled with an interconnection material to complete the dual damascene interconnections.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: January 29, 2008
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Kyoung-woo Lee, Jae-yeol Maeng, Jae-hak Kim, Il-whan Oh, Hong-jae Shin
  • Patent number: 7323419
    Abstract: A method of fabricating a semiconductor device including a high-k dielectric for as a gate insulating layer is provided. The method includes forming a high-k dielectric layer and a conductive layer on a substrate, dry etching a portion of the conductive layer, performing a process to increase a wet etch rate of a remaining portion of the conductive layer, and forming a conductive layer pattern by wet etching the remaining portion of the conductive layer after performing the plasma process or the ion implantation. The process to increase the wet etch rate of the conductive layer including a plasma process and/or an ion implantation on the remaining portion of the conductive layer.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: January 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-suk Jung, Jong-ho Lee, Jae-eon Park, Sung-kee Han, Min-joo Kim
  • Publication number: 20070298605
    Abstract: Methods of fabricating an interconnect, which fundamentally comprises forming a second conductive film (e.g., aluminum) over first conductive film (e.g., copper) deposited in an opening formed in a dielectric layer (e.g., low-k dielectric). The second conductive film has an ability to reflow to form a planar surface upon a thermal treatment process. Electropolishing is then used to planarize the second and first conductive films, wherein an electrolyte solution selective to remove the first conductive film faster than the second conductive film is used. An interconnect is formed.
    Type: Application
    Filed: June 23, 2006
    Publication date: December 27, 2007
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Patent number: 7307013
    Abstract: A method for etching to form a planarized surface is disclosed. Spaced-apart features are formed of a first material, the first material either conductive or insulating. A second material is deposited over and between the first material. The second material is either insulating or conductive, opposite the conductivity of the first material. The second material is preferably self-planarizing during deposition. An unpatterned etch is performed to etch the second material and expose the top of the buried features of the first material. The etch is preferably a two-stage etch: The first stage is selective to the second material. When the second material is exposed, the etch chemistry is changed such that the etch is nonselective, etching the first material and the second material at substantially the same rate until the buried features are exposed across the wafer, producing a substantially planar surface.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: December 11, 2007
    Assignee: Sandisk 3D LLC
    Inventors: Usha Raghuram, Michael W. Konevecki, Samuel V. Dunton
  • Patent number: 7303988
    Abstract: Methods of forming a multi-level metal line of a semiconductor device are disclosed. One example method includes subsequently stacking first and second metal layers, wherein a conductive etching stopper layer is interposed at an interface between the first and second metal layers; forming first and second metal layer pattern by patterning the first metal layer, the etching stopper layer, and the second metal layer, wherein the first metal layer pattern is formed as a lower metal line; forming a connection contact in form of a plug by selectively etching the second metal layer pattern until the etching stopper layer is exposed; forming an interlayer insulating layer to cover the connection contact and the first metal layer pattern; and exposing an upper surface of the connection contact by planarizing the interlayer insulating layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Chul Shim
  • Patent number: 7287325
    Abstract: Disclosed are a damascene and dual damascene processes both of which incorporate the use of a release layer to remove trace amounts of residual material between metal interconnect lines. The release layer is deposited onto a dielectric layer. The release layer comprises an organic material, a dielectric material, a metal or a metal nitride. Trenches are etched into the dielectric layer. The trenches are lined with a liner and filled with a conductor. The conductor and liner materials are polished off the release layer. However, trace amounts of the residual material may remain. The release layer is removed (e.g., by an appropriate solvent or wet etching process) to remove the residual material. If the trench is formed such that the release layer overlaps the walls of the trench, then when the release layer is removed another dielectric layer can be deposited that reinforces the corners around the top of the metal interconnect line.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: October 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Kaushik Chanda, James J. Demarest, Ronald G. Filippi, Roy C. Iggulden, Edward W. Kiewra, Ping-Chuan Wang, Yun-Yu Wang
  • Patent number: 7285226
    Abstract: A method of fabricating a fluid ejection device comprises providing a barrier layer which defines fluidic spaces. The fluidic spaces defined by the barrier layer are filled with filler. A throughway is etched through the substrate. The filler is removed from the fluidic spaces after etching the throughway.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: October 23, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Sadiq Bengali
  • Patent number: 7273804
    Abstract: Disclosed is a reinforced bond pad structure having nonplanar dielectric structures and a metallic bond layer conformally formed over the nonplanar dielectric structures. The nonplanar dielectric structures are substantially reproduced in the metallic bond layer so as to form nonplanar metallic structures. Surrounding each of the nonplanar metallic structures is a ring of dielectric material which provides a hard stop during probing of the bond pad so as to limit the amount of bond pad that can be removed during probing.
    Type: Grant
    Filed: January 6, 2005
    Date of Patent: September 25, 2007
    Assignee: International Business Machines Corporation
    Inventors: David Angell, Frederic Beaulieu, Takashi Hisada, Adreanne Kelly, Samuel Roy McKnight, Hiromitsu Miyai, Kevin Shawn Petrarca, Wolfgang Sauter, Richard Paul Volant, Caitlin W. Weinstein
  • Patent number: 7273775
    Abstract: According to one exemplary embodiment, a method of fabricating a virtual ground memory array includes forming a number of polysilicon segments on a gate dielectric layer, where the gate dielectric layer is situated on a substrate. The method further includes forming a number of bitlines in the substrate, where each of the bitlines is situated adjacent to at least one of the polysilicon segments, and where the bitlines are formed after the polysilicon segments. The method further includes forming a gap-filling dielectric segment over each of the bitlines. The method can further include removing the masking layer and a portion of the gap-filling dielectric segment, depositing an interpoly dielectric layer on the polysilicon segments and on a remaining portion of the gap-filling dielectric segment, and forming a second polysilicon layer on the interpoly dielectric layer.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: September 25, 2007
    Assignee: Spansion LLC
    Inventor: Hiroyuki Ogawa
  • Patent number: 7253097
    Abstract: An integrated circuit system includes providing a semiconductor substrate having a semiconductor device provided thereon. A first dielectric layer is formed over the semiconductor substrate, and a first conductor core is formed in the first dielectric layer. A stop layer is formed over the first conductor core. A second dielectric layer is formed over the stop layer. A channel and a via are formed in the second dielectric layer. The channel and the via in the second dielectric layer are wet cleaned. A barrier metal layer is deposited to line the channel and the via in the second dielectric layer. The barrier metal layer is selectively etched from the bottom of the via in the dielectric layer, and a second conductor core is formed over the barrier metal layer to fill the second channel and the via to connect the second conductor core to the first conductor core.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: August 7, 2007
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yeow Kheng Lim, Chim Seng Seet, Tae Jong Lee, Liang-Choo Hsia, Kin Leong Pey
  • Patent number: 7247528
    Abstract: Methods of fabricating a semiconductor integrated circuit having thin film transistors using an SEG technique are provided. The methods include forming an inter-layer insulating layer on a single-crystalline semiconductor substrate. A single-crystalline semiconductor plug extends through the inter-layer insulating layer, and a single-crystalline epitaxial semiconductor pattern is in contact with the single-crystalline semiconductor plug on the inter-layer insulating layer. The single-crystalline epitaxial semiconductor pattern is at least partially planarized to form a semiconductor body layer on the inter-layer insulating layer, and the semiconductor body layer is patterned to form a semiconductor body. As a result, the semiconductor body includes at least a portion of the single-crystalline epitaxial semiconductor pattern. Thus, the semiconductor body has an excellent single-crystalline structure. Semiconductor integrated circuits fabricated using the methods are also provided.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: July 24, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kun-Ho Kwak, Jae-Hoon Jang, Soon-Moon Jung, Won-Seok Cho, Hoon Lim, Sung-Jin Kim, Byung-Jun Hwang, Jong-Hyuk Kim
  • Patent number: 7238606
    Abstract: Methods for fabricating a copper interconnect of a semiconductor device are disclosed. An example method for fabricating a copper interconnect of a semiconductor device deposits a first insulating layer on a substrate having at least one predetermined structure, forms a trench and via hole through the first insulating layer by using a dual damascene process, and deposits a barrier layer along the bottom and the sidewalls of the trench and via hole.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: July 3, 2007
    Assignee: Dongbu Electronics, Co., Ltd.
    Inventor: In Kyu Chun
  • Patent number: 7238607
    Abstract: When chemical mechanical planarization (CMP) is used to planarize a surface coexposing patterned features and dielectric fill, where patterned features and the fill are formed of materials having very different CMP removal rates or characteristics, the planarized surface may have excessively rough, dishing or recessing may take place, or one or more or the materials may be damaged. In structures in which planarity is important, these problems can be prevented by forming a capping layer on the patterned features, wherein the CMP removal rate of the material forming the capping layer is similar to the CMP removal rate of the dielectric fill.
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: July 3, 2007
    Assignee: SanDisk 3D LLC
    Inventors: Samuel V. Dunton, S. Brad Herner
  • Patent number: 7235477
    Abstract: The present invention is directed to a multi-layer interconnection circuit module in which plural unit wiring layers are interlayer-connected to each other through a large number of via holes so that they are laminated and formed, wherein respective unit wiring layers (8) to (12) are adapted so that photo-lithographic processing is implemented to a first insulating layer (22) formed by photosensitive insulating resin material to form via hole grooves (25), and photo-lithographic processing is implemented to a second insulating layer (23) formed by photosensitive insulating resin material on the first insulating layer (22) to form wiring grooves (27).
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: June 26, 2007
    Assignee: Sony Corporation
    Inventor: Tsuyoshi Ogawa
  • Patent number: 7232720
    Abstract: A semiconductor device having a self-aligned contact hole is formed by providing a side wall oxide film on a gate electrode, covering the gate electrode and the side wall oxide film by an oxide film and further covering the oxide film by a nitride film, wherein the oxide film is formed by a plasma CVD process with a reduced plasma power such that the H2O content in the oxide film is less than about 2.4 wt %.
    Type: Grant
    Filed: March 8, 2004
    Date of Patent: June 19, 2007
    Assignee: Fujitsu Limited
    Inventors: Kousuke Suzuki, Katsuyuki Karakawa
  • Patent number: 7232749
    Abstract: An integrated circuit inductance and the fabrication method thereof are disclosed. The manufacture process provided by the present invention fabricates an integrated circuit inductance having a simple production process, low cost, a near equal loop size and good performance, due to making the order of the planarization processes of the inductance loops substantially perpendicular to the wafer and the direction of the current of the inductance substantially in parallel with the wafer, by way of the manufacture process of the plugs and the conductive wires of the integrated-circuit process.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: June 19, 2007
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Min-Lung Huang
  • Patent number: 7223685
    Abstract: The present application discloses process comprising providing a wafer, the wafer comprising an inter-layer dielectric (ILD) having a feature therein, an under-layer deposited on the ILD, and a barrier layer deposited on the under-layer, and a conductive layer deposited in the feature, placing the wafer in an electrolyte, such that at least the barrier layer is immersed in the electrolyte, and applying an electrical potential between the electrode and the wafer.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Patent number: 7217649
    Abstract: A system and method for forming a semiconductor in a dual damascene structure including receiving a patterned semiconductor substrate. The semiconductor substrate having a first conductive interconnect material filling multiple features in the pattern. The first conductive interconnect material having an overburden portion. The over burden portion is planarized. The over burden portion is substantially entirely removed in the planarizing process. A mask layer is reduced and a subsequent dielectric layer is formed on the planarized over burden portion. A mask is formed on the subsequent dielectric layer. One or more features are formed in the subsequent dielectric layer and the features are filled with a second conductive interconnect material.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: May 15, 2007
    Assignee: LAM Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare
  • Patent number: 7208402
    Abstract: An apparatus comprising: a die having a top metal layer, the top metal layer comprised of at least a first metal line and a second metal line; a passivation layer covering the top metal layer; a C4 bump on the passivation layer; and a first passivation opening and a second passivation opening in the passivation layer, the first passivation opening to connect the first metal line to the C4 bump, and the second passivation opening to connect the second metal line to the C4 bump.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: April 24, 2007
    Assignee: Intel Corporation
    Inventors: Mark T. Bohr, Robert W. Martell
  • Patent number: 7202160
    Abstract: In a method of forming an insulating structure, an insulating interlayer is formed on a substrate using a silicon source gas and a reaction gas. A capping layer is formed in-situ on the insulating interlayer by increasing a flow rate of an oxidizing gas included in the reaction gas so that the capping layer has a second thickness when the insulating interlayer is formed on the substrate to have a first thickness. The insulating structure dose not have an interface between the insulating interlayer and the capping layer so that the insulating interlayer is not subject to damage by a cleaning solution during a subsequent cleaning process, since the cleaning solution maynot permeate into the insulating structure. Additionally, leakage current is mitigated or eliminated between the insulating interlayer and the capping layer, thereby improving the reliability of a semiconductor device including the insulating structure.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: April 10, 2007
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Yoon-Hae Kim, Kyung-Tae Lee, Yong-Jun Lee
  • Patent number: 7199043
    Abstract: Disclosed in a method of forming a copper wiring in a semiconductor device. A copper layer buries a damascene pattern in which an interlayer insulating film of a low dielectric constant. The copper layer is polished by means of a chemical mechanical polishing process to form a copper wiring within a damascene pattern. At this time, the chemical mechanical polishing process is overly performed so that the top surface of the copper wiring is concaved and is lower than the surface of the interlayer insulating film of the low dielectric constant neighboring it. Furthermore, an annealing process is performed so that the top surface of the copper wiring is changed from the concaved shape to a convex shape while stabilizing the copper wiring. A copper anti-diffusion insulating film is then formed on the entire structure including the top surface of the copper wiring having the convex shape.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Kyun Park
  • Patent number: 7196002
    Abstract: A method for fabricating dual damascene structures having improved IC performance and reduced RC delay characteristics is provided. In one embodiment, a substrate with an etch stop layer formed thereon is provided. A dielectric layer is formed on the etch stop layer and an anti-reflective coating layer is formed on the dielectric layer. A first patterned photoresist layer having a via hole pattern is formed on the anti-reflective coating layer. The via hole pattern is thereafter etched through the anti-reflective coating layer, the dielectric layer, and the etch stop layer to form a via hole. A sacrificial via fill layer is filled in the via hole. A second patterned photoresist layer having a trench pattern is formed above the sacrificial via fill layer. The trench pattern is etched into the sacrificial via fill layer, the anti-reflective coating layer, and the dielectric layer to form a trench. The sacrificial via fill layer is removed in the via hole.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: March 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Nien Su, Yi-Chen Huang, Jyu-Horng Shieh
  • Patent number: 7189638
    Abstract: A method for manufacturing a metal structure using a trench includes etching a semiconductor substrate to form a trench, depositing a seed layer over the semiconductor substrate including in the trench, stacking an insulating layer over the seed layer, removing a portion of the insulating layer to expose a portion of the seed layer at a bottom of the trench, filling the trench with a metal material, and removing the seed layer and the insulating layer on the semiconductor substrate. As a result, a subsequent process in forming a multi-layered structure may be easily carried out, thereby simplifying a manufacturing process.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: March 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-sik Shim, Kyung-won Na, Sang-on Choi, Hae-seok Park
  • Patent number: 7183199
    Abstract: A method of reducing the pattern effect in the CMP process. The method comprises the steps of providing a semiconductor substrate having a patterned dielectric layer, a barrier layer on the patterned dielectric layer, and a conductive layer on the barrier layer; performing a first CMP process to remove part of the conductive layer before the barrier layer is polished, thereby a step height of the conductive layer is reduced; depositing a layer of material substantially the same as the conductive layer on the conductive layer; and performing a second CMP process to expose the dielectric layer. A method of eliminating the dishing phenomena after a CMP process and a CMP rework method are also provided.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 27, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Wen Liu, Jung-Chih Tsao, Shien-Ping Feng, Kei-Wei Chen, Shih-Chi Lin, Ray Chuang
  • Patent number: 7179736
    Abstract: The present invention relates to a method of fabricating planar semiconductor wafers. The method comprises forming a dielectric layer on a semiconductor wafer surface, the semiconductor wafer surface having vias, trenches and planar regions. A barrier and seed metal layer is then formed on the dielectric layer. The wafer is next place in a plating bath that includes an accelerator, which tends to collect in the vias and trenches to accelerate the rate of plating in these areas relative to the planar regions of the wafer. After the gapfill point is reached, the plating is stopped by removing the plating bias on wafer. An equilibrium period is then introduced into the process, allowing higher concentrations of accelerator additives and other components of the bath)] above the via and trench regions to equilibrate in the plating bath. The bulk plating on the wafer is resumed after equilibration.
    Type: Grant
    Filed: October 14, 2004
    Date of Patent: February 20, 2007
    Assignee: LSI Logic Corporation
    Inventors: Byung-Sung Leo Kwak, Peter Burke, Sey-Shing Sun
  • Patent number: 7179714
    Abstract: There is provided a method of fabricating a MOS transistor having a fully silicided gate, including forming a gate pattern and gate spacers on a semiconductor substrate, the gate pattern including a lower gate pattern, an insulating layer pattern, and an upper gate pattern, which are sequentially stacked. Source/drain regions are formed by implanting impurity ions into an active region using the gate pattern and the gate spacers as ion implantation masks. Then, a protecting layer is formed on the semiconductor substrate having the gate pattern, and the protecting layer is planarized until the upper gate pattern is exposed. Then, by removing the exposed upper gate pattern and the insulating layer pattern, the lower gate pattern is exposed. Then, the protecting layer is selectively removed, thereby exposing the source/drain regions. The exposed lower gate pattern is fully converted to a gate silicide layer, and a silicide layer is concurrently formed on the surfaces of the source/drain regions.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: You-Jean Chang, Myoung-Hwan Oh, Hee-Sung Kang, Choong-Ryul Ryou
  • Patent number: 7172963
    Abstract: In the forming process of buried wirings by filling wiring trenches formed in an insulator with a conductive film mainly made of Cu, the buried wirings are formed to have a uniform-height regardless of the width and density of the wiring trenches. When polishing a barrier conductor film comprised of a Ta film in the CMP process for forming the buried wirings, the polishing agent, which controls the removal rate of the underlying insulator of a silicon oxide film relative to the barrier conductor film to almost one twentieth or less, is used as the slurry, and the pad which is made of polyurethane with a hardness of 75 degrees or more measured by the Type E durometer in conformity with the JIS K6253 and which is comprised of the foam including non-uniform pores with a diameter of about 150 ?m or larger and a density of about 0.4–0.16 g/cm3, is used as the polishing pad.
    Type: Grant
    Filed: June 9, 2004
    Date of Patent: February 6, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Yohei Yamada, Nobuhiro Konishi
  • Patent number: 7172962
    Abstract: On a substrate are sequentially formed a first interconnection 203, a diffusion barrier film 205 and a second insulating film 207, and on the upper surface of the second insulating film 207 is then formed a sacrificial film 213. Next, a via hole 211 and an interconnection trench 217 are formed, and on the sacrificial film 213 are then formed a barrier metal film 219 and a copper film 221. CMP for removing the extraneous copper film 221 and barrier metal film 219 are conducted in a two-step process, i. e., the first polishing where polishing is stopped on the surface of the barrier metal film 219 and the second polishing where the remaining barrier metal film 219 and the tapered sacrificial film 213 are polished.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: February 6, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yoshio Okayama, Hayato Nakashima, Yoshinari Ichihashi
  • Patent number: 7169695
    Abstract: A method for forming a dual damascene feature is provided. Vias are formed in an etch layer. A trench patterned mask is provided over the etch layer. A trench is etched, where the etching the trench comprises a cycle of forming protective sidewalls over the sidewalls of the vias and etching a trench through the trench patterned mask. The mask is then stripped.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: January 30, 2007
    Assignee: Lam Research Corporation
    Inventors: Zhisong Huang, Lumin Li, Reza Sadjadi
  • Patent number: 7166506
    Abstract: A method of fabricating microelectronic structure using at least two material removal steps, such as for in a poly open polish process, is disclosed. In one embodiment, the first removal step may be chemical mechanical polishing (CMP) step utilizing a slurry with high selectivity to an interlevel dielectric layer used relative to an etch stop layer abutting a transistor gate. This allows the first CMP step to stop after contacting the etch stop layer, which results in substantially uniform “within die”, “within wafer”, and “wafer to wafer” topography. The removal step may expose a temporary component, such as a polysilicon gate within the transistor gate structure. Once the polysilicon gate is exposed other processes may be employed to produce a transistor gate having desired properties.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: January 23, 2007
    Assignee: Intel Corporation
    Inventors: Matthew J. Prince, Francis M. Tambwe, Chris E. Barns
  • Patent number: 7163780
    Abstract: Disclosed are methods of manufacturing electronic devices, particularly integrated circuits. Such methods include the use of low dielectric constant material prepared by using a removable porogen material.
    Type: Grant
    Filed: March 22, 2003
    Date of Patent: January 16, 2007
    Assignee: Shipley Company, L.L.C.
    Inventors: Michael K. Gallagher, Yujian You
  • Patent number: 7157368
    Abstract: Semiconductor elements composing a semiconductor device are formed on a semiconductor substrate. Wirings composed of copper or an alloy mainly composed of copper are formed in wiring layers through interlayer insulation films to connect the semiconductor elements to each other. When the wirings are formed, a temperature of the wirings is held in a first temperature zone covering ±40° C. of a temperature at which a stress migration is most accelerated.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: January 2, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenji Yoshida, Hiroshi Nakazawa, Takeshi Fujimaki, Koji Miyamoto
  • Patent number: 7144808
    Abstract: The present invention provides, in one embodiment, method of forming a barrier layer 300 over a semiconductor substrate 110. The method comprises forming an opening 120 in an insulating layer 130 located over a substrate thereby uncovering an underlying copper layer 140. The method further comprises exposing the opening and the underlying copper layer to a plasma-free reducing atmosphere 200 in the presence of a thermal anneal. The also comprises depositing a barrier layer in the exposed opening and on the exposed underlying copper layer. Such methods and resulting conductive structures thereof may be advantageously used in methods to manufacture integrated circuits comprising copper interconnects.
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Sanjeev Aggarwal, Kelly J. Taylor
  • Patent number: 7144816
    Abstract: Fabricating a semiconductor structure includes providing a semiconductor substrate, forming a silicide layer over the substrate, and removing a portion of the silicide layer by chemical mechanical polishing. The fabrication of the structure can also include forming a dielectric layer after forming the silicide layer, and removing a portion of the dielectric layer by chemical mechanical polishing before removing the portion of the silicide layer.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: December 5, 2006
    Assignee: Intel Corporation
    Inventors: Chris E. Barns, Mark Doczy
  • Patent number: 7135400
    Abstract: A method for avoiding resist poisoning during a damascene process is disclosed. A semiconductor substrate is provided with a low-k dielectric layer (k?2.9) thereon, a SiC layer over the low-k dielectric layer, and a blocking layer over the SiC layer. The blocking layer is used to prevent unpolymerized precursors diffused out from the low-k dielectric layer from contacting an overlying resist. A bottom anti-reflection coating (BARC) layer is formed on the blocking layer. A resist layer is formed on the BARC layer, the resist layer having an opening to expose a portion of the BARC layer. A damascene structure is formed in the low-k dielectric layer by etching the BARC layer, the blocking layer, the SiC layer, and the low-k dielectric layer through the opening.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: November 14, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Liang Lien, Charlie C J Lee, Chih-Ning Wu, Jain-Hon Chen
  • Patent number: 7119008
    Abstract: In forming a layer of a semiconductor wafer, a dielectric layer is deposited on the semiconductor wafer. The dielectric layer includes material having a low dielectric constant. Recessed and non-recessed areas are formed in the dielectric layer. A metal layer is deposited on the dielectric layer to fill the recessed areas and cover the non-recessed areas. The metal layer is then electropolished to remove the metal layer covering the non-recessed areas while maintaining the metal layer in the recessed areas.
    Type: Grant
    Filed: September 18, 2001
    Date of Patent: October 10, 2006
    Assignee: ACM Research, Inc.
    Inventor: Hui Wang
  • Patent number: 7112527
    Abstract: A semiconductor device having regions for forming a plurality of functional blocks and a region for forming wiring layers for connecting the functional blocks, wherein each of the regions for forming the functional blocks includes a multilayer wiring, and the region for forming the wiring layers for connecting adjacent functional blocks includes a coaxial line comprised of a signal line and a ground line surrounding the signal line via an insulating film.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: September 26, 2006
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Nobuyoshi Awaya
  • Patent number: 7105914
    Abstract: Structures are provided which improve performance in integrated circuits. The structures include a diffusion barrier and a seed layer in an integrated circuit both formed using a low energy ion implantation followed by a selective deposition of metal lines for the integrated circuit. The low energy ion implantation allows for the distinct placement of both the diffusion barrier and the seed layer. Structures are formed with a barrier/adhesion layer deposited in the number of trenches using a low energy ion implantation, e.g. a 100 to 800 electron volt (eV) ion implantation. A seed layer is deposited on the barrier/adhesion layer in the number of trenches also using the low energy ion implantation. Such structures include aluminum, copper, gold, and silver metal interconnects.
    Type: Grant
    Filed: April 5, 2002
    Date of Patent: September 12, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7104869
    Abstract: The invention generally provides methods and compositions for planarizing a substrate surface having underlying dielectric materials. Aspects of the invention provide compositions and methods using a combination of low polishing pressures, polishing compositions, various polishing speeds, selective polishing pads, and selective polishing temperatures, for removing barrier materials by a chemical mechanical polishing technique with minimal residues and minimal seam damage. Aspects of the invention are achieved by employing a strategic multi-step process including sequential CMP at low polishing pressure to remove the deposited barrier materials.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: September 12, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Stan Tsai, Rashid Mavliev, Lizhong Sun, Feng Q. Liu, Liang-Yuh Chen, Ratson Morad
  • Patent number: 7101727
    Abstract: A pixel cell is formed by locating a first passivation layer over the final layer of metal lines. Subsequently, the uneven, non-uniform passivation layer is subjected to a planarization process such as chemical mechanical polishing, mechanical abrasion, or etching. A spin-on-glass layer may be deposited over the non-uniform passivation layer prior to planarization. Once a uniform, flat first passivation layer is achieved over the final metal, a second passivation layer, a color filter array, or a lens forming layer with uniform thickness is formed over the first passivation layer. The passivation layers can be oxide, nitride, a combination of oxide and nitride, or other suitable materials. The color filter array layer may also undergo a planarization process prior to formation of the lens forming layer. The present invention is also applicable to other devices.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7094679
    Abstract: Method and system for fabricating an electrical interconnect capable of supporting very high current densities (106–1010 Amps/cm2), using an array of one or more carbon nanotubes (CNTs). The CNT array is grown in a selected spaced apart pattern, preferably with multi-wall CNTs, and a selected insulating material, such as SiOw or SiuNv, is deposited using CVD to encapsulate each CNT in the array. An exposed surface of the insulating material is planarized to provide one or more exposed electrical contacts for one or more CNTs.
    Type: Grant
    Filed: March 11, 2003
    Date of Patent: August 22, 2006
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Jun Li, Meyya Meyyappan
  • Patent number: 7084053
    Abstract: A method of forming and a device including an interconnect structure having a unidirectional electrical conductive material is described. The unidirectional conductive material may overlie interconnect materials, and/or may surround interconnect materials, such as by lining the walls and base of a trench and via. The unidirectional conductive material may be configured to conduct electricity in a direction corresponding to a projection to or from a contact point and conductive material overlying the unidirectional conductive material, but have no substantial electrical conductivity in other directions. Moreover, the unidirectional conductive material may be electrically conductive in a direction normal to a surface over which it is formed or in directions along or across a plane, but have no substantial electrical conductivity in other directions.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: August 1, 2006
    Assignee: Intel Corporation
    Inventors: Reza M. Golzarian, Robert P. Meagley, Seiichi Morimoto, Mansour Moinpour
  • Patent number: 7084503
    Abstract: The present invention provides a semiconductor device in which occurrence of disclination caused by steps in a contact portion and steps between pixel electrodes is prevented. A method of fabricating a semiconductor device according to the invention includes forming an insulating film 2 on an electrode 1a so as to cover the electrode; forming contact holes 2a and 2b located on the electrode and concave portions 2c and 2d connected to the contact hole; embedding a conductive film 8 in the contact hole and the concave portion and forming a conductive film 8 on the insulating film; and applying the CMP polishing or the etching-back to the conductive film, and thereby forming a pixel electrode made of the conductive films 8a and 8b embedded in the contact hole and the concave portion.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: August 1, 2006
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Akira Ishikawa, Shingo Eguchi, Seiji Oda, Yoshinori Higami