Planarization Patents (Class 438/626)
  • Publication number: 20090152724
    Abstract: IC interconnect for high current device, design structure thereof and method are disclosed. One embodiment of the IC interconnect includes a first via positioned in a dielectric and coupled to a high current device at one end; a buffer metal segment positioned in a dielectric and coupled to the first via at the other end thereof; and a plurality of second vias positioned in a dielectric and coupled to the buffer metal segment at one end and to a metal power line at the other end thereof, wherein the buffer metal segment is substantially shorter in length than the metal power line.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 18, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ping-Chuan Wang, Kimball M. Watson, Kai Xiu
  • Publication number: 20090146309
    Abstract: A semiconductor device has a first insulating film formed over a semiconductor substrate, a first opening formed in the first insulating film, a first manganese oxide film formed along an inner wall of the first opening, a first copper wiring embedded in the first opening, and a second manganese oxide film formed on the first copper wiring including carbon.
    Type: Application
    Filed: November 20, 2008
    Publication date: June 11, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Hiroshi KUDO, Nobuyuki OHTSUKA, Masaki HANEDA, Tamotsu OWADA
  • Publication number: 20090142917
    Abstract: Methods for fabricating a metal line of a semiconductor device are disclosed. In a disclosed example, the method includes a first step of forming a passivation film on a semiconductor substrate having a semiconductor device, a second step of forming contact holes in the passivation film to form a first contact plug, a third step of sequentially forming at least two metal layers on an entire surface of the substrate including the first contact plug, a fourth step of selectively etching one of the at least two metal layers to form a second contact plug, a fifth step of selectively etching the other of the at least two metal layers to form a metal line, and a sixth step of exposing an upper surface of the second contact plug.
    Type: Application
    Filed: October 30, 2008
    Publication date: June 4, 2009
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Joon Hwan KIM
  • Patent number: 7531448
    Abstract: A manufacturing method of a dual damascene structure is provided. First, a barrier layer, a first dielectric layer, a second dielectric layer, a cap layer, a metal-containing hard mask layer, a dielectric hard mask layer, a first bottom anti-reflection coating (BARC) layer and a first photoresist layer are sequentially formed over the substrate. Next, the patterned first photoresist layer is used as a mask during an etch process to form a first trench structure. A second BARC layer is formed to fill the first trench structure and to cover the surface of the dielectric hard mask layer. A second photoresist layer is formed over the second BARC layer. The patterned second photoresist layer is used as a mask during an etch process to form a first via structure. The first trench structure and the first via structure are etched to obtain a second trench structure and a second via structure.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: May 12, 2009
    Assignee: United Microelectronics Corp.
    Inventor: Chih-Jung Wang
  • Patent number: 7527188
    Abstract: Alloys of silver and an alloying element that diffuses to the surface of the high conductivity metal and is oxidizable to form an alloying element oxide such as beryllium are provided along with electronic structures employing the alloys and methods of fabrication.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventor: Maria Ronay
  • Patent number: 7528064
    Abstract: Microelectronic workpieces that have bump sites over bond-pads and methods of fabricating such bump sites. One embodiment of such a workpiece, for example, includes a substrate having a plurality of microelectronic dies comprising integrated circuitry and bond-pads, such as copper bond-pads, electrically coupled to the integrated circuitry. The workpiece further includes (a) a dielectric structure having a plurality of openings with sidewalls projecting from corresponding bond-pads, and (b) a plurality of caps over corresponding bond-pads. The individual caps can include a discrete portion of a barrier layer attached to the bond-pads and the sidewalls of the openings, and a discrete portion of a cap layer on the barrier layer. The caps are electrically isolated from each other and self-aligned with corresponding bond-pads without forming a mask layer over the cap layer.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: May 5, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Mark E. Tuttle, Keith R. Cook
  • Patent number: 7514779
    Abstract: Mesh holes 35a and 59a of upper solid layers 35 and upper solid layers 59 are formed to overlie on one another, so that the insulating properties of interlayer resin insulating layers 50 are not lowered. Here, the diameter of each mesh hole is preferably 75 to 300 ?m. The reason is as follows. If the diameter of the mesh hole is less than 75 ?m, it is difficult to overlay the upper and lower mesh holes on one another. If the diameter exceeds 300 ?m, the insulating properties of the interlayer resin insulating layers deteriorate. In addition, the distance between the mesh holes is preferably 100 to 2000 ?m. The reason is as follows. If the distance is less than 100 ?m, the solid layer cannot function. If the distance exceeds 2000 ?m, the deterioration of the insulating properties of the interlayer resin insulating film occurs.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: April 7, 2009
    Assignee: Ibiden Co., Ltd.
    Inventors: Naohiro Hirose, Honjin En
  • Publication number: 20090087980
    Abstract: An integrated process for forming metallization layers for electronic devices that use damascene structures that include low-k dielectric and metal. According to one embodiment of the present invention, the integrated process includes planarizing a gapfill metal in low-k dielectric structures, generating a protective layer on the low-k dielectric followed by cleaning the surface of the gapfill metal. Another embodiment of the present invention includes a method of protecting low-k dielectrics such as carbon doped silicon oxide.
    Type: Application
    Filed: September 17, 2008
    Publication date: April 2, 2009
    Inventors: Yezdi N. DORDI, Arthur M. Howald
  • Patent number: 7510972
    Abstract: A method of processing a substrate which enables a surface damaged layer and polishing remnants on the surface of an insulating film to be removed, and enable the amount removed of the surface damaged layer and polishing remnants to be controlled easily. An insulating film on a substrate, which has been revealed by chemical mechanical polishing, is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. The insulating film which has been exposed to the atmosphere of the mixed gas is heated to a predetermined temperature.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: March 31, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Kenya Iwasaki
  • Patent number: 7504699
    Abstract: A method of forming an air gap or gaps within solid structures and specifically semiconductor structures to reduce capacitive coupling between electrical elements such as metal lines, wherein a norbornene-type polymer is used as a sacrificial material to occupy a closed interior volume in a semiconductor structure. The sacrificial material is caused to decompose into one or more gaseous decomposition products which are removed, preferably by diffusion, through an overcoat layer. The decomposition of the sacrificial material leaves an air gap or gaps at the closed interior volume previously occupied by the norbornene-type polymer. The air gaps may be disposed between electrical leads to minimize capacitive coupling therebetween.
    Type: Grant
    Filed: November 21, 2000
    Date of Patent: March 17, 2009
    Assignee: George Tech Research Corporation
    Inventors: Paul A. Kohl, Qiang Zhao, Sue Ann Bidstrup Allen
  • Patent number: 7498252
    Abstract: Embodiments of the invention include apparatuses and methods relating to dual layer dielectric stacks for thick metal lines of microelectronic devices. In one embodiment, the dual layer dielectric stack includes a first dielectric layer that is planar and mechanically strong and the second dielectric layer can be patterned by photolithography to the required critical dimensions.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: March 3, 2009
    Assignee: Intel Corporation
    Inventors: Kevin J. Lee, Subhash Joshi
  • Patent number: 7485566
    Abstract: A method of manufacturing a semiconductor device is provided. The method includes: (A) forming an insulating film with a porous structure on a substrate; (B) forming a trench in the insulating film, the trench being used for forming an interconnection; (C) depositing a metal layer over the insulating film such that the trench is filled in with the metal layer; (D) forming the interconnection by removing an excess metal layer outside the trench; (E) modifying a surface of the insulating film to form a modified layer on the insulating film; and (F) forming a metal film selectively on the interconnection by using plating solution after the (E) modifying process.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: February 3, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Naoyoshi Kawahara, Kazuyoshi Ueno
  • Patent number: 7485571
    Abstract: General purpose methods for the fabrication of integrated circuits from flexible membranes formed of very thin low stress dielectric materials, such as silicon dioxide or silicon nitride, and semiconductor layers. Semiconductor devices are formed in a semiconductor layer of the membrane. The semiconductor membrane layer is initially formed from a substrate of standard thickness, and all but a thin surface layer of the substrate is then etched or polished away. In another version, the flexible membrane is used as support and electrical interconnect for conventional integrated circuit die bonded thereto, with the interconnect formed in multiple layers in the membrane. Multiple die can be connected to one such membrane, which is then packaged as a multi-chip module. Other applications are based on (circuit) membrane processing for bipolar and MOSFET transistor fabrication, low impedance conductor interconnecting fabrication, flat panel displays, maskless (direct write) lithography, and 3D IC fabrication.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: February 3, 2009
    Assignee: Elm Technology Corporation
    Inventor: Glenn J Leedy
  • Patent number: 7485962
    Abstract: A substrate support (201) having a flat supporting surface (201a) is prepared, and a semiconductor substrate (1) is fixed to the substrate supporting surface (201) by attaching a wiring forming surface (1a) to the supporting surface (201a) by suction, for example, by vacuum suction. On this occasion, the wiring forming surface (1a) is forcibly flattened by being attached to the supporting surface (201a) by suction, and therefore the wiring forming surface (1a) becomes a reference plane for planarization of a back surface (1b). In this state, planarization processing is performed by mechanically grinding the back surface (1b) to grind away projecting portions (12) of the back surface (1b). Hence, variations in the thickness of the substrate (especially, semiconductor substrate) are made uniform, and high-speed planarization is realized easily and inexpensively without disadvantages such as dishing and without any limitation on a wiring design.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: February 3, 2009
    Assignee: Fujitsu Limited
    Inventors: Kanae Nakagawa, Masataka Mizukoshi, Kazuo Teshirogi
  • Patent number: 7479433
    Abstract: A method of manufacturing a semiconductor device includes depositing a mask material to be patterned into a desired target pattern on an underlying material; patterning the mask material into a preparatory pattern including the target pattern and being larger than the target pattern; patterning the mask material into the target pattern; and processing the underlying material by using the mask material, which has been patterned, as a mask.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: January 20, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshiaki Komukai, Hideaki Harakawa
  • Patent number: 7476605
    Abstract: A method for manufacturing a semiconductor device is provided, which comprises forming a first metal wiring layer above a semiconductor substrate, forming an inorganic insulating film above the first metal wiring layer, forming an organic insulating film on the inorganic insulating film, forming a recess in the organic insulating film, forming a reactive layer on the side surface of the recess, the reactive layer being capable of reaction under heat with the organic insulating film, applying a heat treatment to the reactive layer so as to permit the reactive layer to react with the organic insulating film while leaving an unreacted reactive layer, thereby allowing the reaction layer to grow on the side surface of the recess, the recess being diminished by the growth of the reaction layer, and removing the unreacted reactive layer to obtain a diminished recess.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: January 13, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Yosho
  • Patent number: 7473636
    Abstract: In the back end of an integrated circuit employing dual-damascene interconnects, the interconnect members have a first non-conformal liner that has a thicker portion at the top of the trench level of the interconnect; and a conformal second liner that combines with the first liner to block diffusion of the metal fill material.
    Type: Grant
    Filed: January 12, 2006
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kaushik Chanda, James J. Demarest, Ronald G. Filippi, Roy C. Iggulden, Edward W. Kiewra, Vincent J. McGahay, Ping-Chuan Wang, Yun-Yu Wang
  • Patent number: 7475368
    Abstract: A system, a method and a computer program product for analyzing a circuit design provide for discretizing the circuit design into a series of pixels. A fraction of at least one constituent material is determined for each pixel. A deflection is also determined for each pixel. The deflection is predicated upon a planarizing of the pixel, and it is calculated while utilizing an algorithm that includes the fraction of the at least one constituent material. A series of deflections for the series of pixels may be mapped and evaluated.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: January 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Matthew S. Angyal, Giovanni Fiorenza, Habib Hichri, Andrew Lu, Dale C. McHerron, Conal E. Murray
  • Publication number: 20090004844
    Abstract: A method is provided to form densely spaced metal lines. A first set of metal lines is formed by etching a first metal layer. A thin dielectric layer is conformally deposited on the first metal lines. A second metal is deposited on the thin dielectric layer, filling gaps between the first metal lines. The second metal layer is planarized to form second metal lines interposed between the first metal lines, coexposing the thin dielectric layer and the second metal layer at a substantially planar surface. In some embodiments, planarization continues to remove the thin dielectric covering tops of the first metal lines, coexposing the first metal lines and the second metal lines, separated by the thin dielectric layer, at a substantially planar surface.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventors: Kang-Jay Hsia, Calvin K. Li, Christopher J. Petti
  • Publication number: 20080315418
    Abstract: Presented are methods of fabricating three-dimensional integrated circuits that include post-contact back end of line through-hole via integration for the three-dimensional integrated circuits. In one embodiment, the method comprises forming metal plug contacts through a hard mask and a premetal dielectric to transistors in the semiconductor. The method also includes etching a hole for a through-hole via through the hard mask to the semiconductor using a patterned photoresist process, removing the patterned photoresist and using a hard mask process to etch the hole to an amount into the semiconductor. The method further includes depositing a dielectric liner to isolate the hole from the semiconductor, depositing a gapfill metal to fill the hole, and planarizing the surface of the substrate to the hard mask. Another aspect of the present invention includes three-dimensional integrated circuits fabricated according to methods of the present invention.
    Type: Application
    Filed: June 20, 2007
    Publication date: December 25, 2008
    Inventors: John Boyd, Fritz Redeker, Yezdi Dordi, Hyungsuk Alexander Yoon, Shijian Li
  • Patent number: 7468317
    Abstract: A method of forming a metal line, in which a nitride layer is used instead of a metal barrier layer, enabling a metal line structure with a relatively low resistance and therefore realizing a high integration of a device. In the method of forming the metal line of the semiconductor device, a first insulating layer and a second insulating layer with a different etch selectivity are sequentially formed on a semiconductor substrate. Predetermined regions of the first insulating layer and the second insulating layer are sequentially etched to form a contact hole. A metal barrier layer is formed on the entire surface including the contact hole. A first metal material is deposited on the entire surface to gap-fill the contact hole. The first metal material on the second insulating layer is stripped such that the first metal material remains only within the contact hole, thus forming a contact plug. A metal line is formed on a predetermined region of the second insulating layer including the contact plug.
    Type: Grant
    Filed: November 7, 2006
    Date of Patent: December 23, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jik Ho Cho, Tae Kyung Kim
  • Patent number: 7468320
    Abstract: The idea of the invention is to coat the free surface of patterned Cu conducting lines in on-chip interconnections (BEOL) wiring by a 1-20 nm thick metal layer prior to deposition of the interlevel dielectric. This coating is sufficiently thin so as to obviate the need for additional planarization by polishing, while providing protection against oxidation and surface, or interface, diffusion of Cu which has been identified by the inventors as the leading contributor to metal line failure by electromigration and thermal stress voiding. Also, the metal layer increases the adhesion strength between the Cu and dielectric so as to further increase lifetime and facilitate process yield. The free surface is a direct result of the CMP (chemical mechanical polishing) in a damascene process or in a dry etching process by which Cu wiring is patterned. It is proposed that the metal capping layer be deposited by a selective process onto the Cu to minimize further processing.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: December 23, 2008
    Assignee: International Business Machines Corporation
    Inventors: Chao-Kun Hu, Robert Rosenberg, Judith M. Rubino, Carlos J. Sambucetti, Anthony K. Stamper
  • Patent number: 7465977
    Abstract: There is described a method for producing a packaged integrated circuit. The method comprises a first step of building an integrated circuit having a micro-structure suspended above a micro-cavity, and having a heating element on the micro-structure capable of heating itself and its immediate surroundings. A layer of protective material is then deposited on said micro-structure such that at least a top surface of the micro-structure and an opening of the micro-cavity is covered, wherein the protective material is in a solid state at room temperature and can protect the micro-structure during silicon wafer dicing procedures and subsequent packaging. The integrated circuit is packaged and an electric current is passed through the heating element such that a portion of the protective material is removed and an unobstructed volume is provided above and below the micro-structure.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: December 16, 2008
    Assignee: Microbridge Technologies Inc.
    Inventors: Leslie M. Landsberger, Oleg Grudin
  • Publication number: 20080299761
    Abstract: An interconnection process is provided. The process includes the following steps. Firstly, a semiconductor base having at least a electrical conductive region is provided. Next, a dielectric layer with a contact hole is formed to cover the semiconductor base, wherein the contact hole exposes part of the electrical conductive region. Then, a thermal process is performed on the semiconductor base covered with the dielectric layer. Lastly, a conductive layer is formed on the dielectric layer, wherein the conductive layer is electrically connected to the electrical conductive region through the contact hole.
    Type: Application
    Filed: June 1, 2007
    Publication date: December 4, 2008
    Applicant: Macronix International Co., Ltd.
    Inventors: Tuung Luoh, Ling-Wuu Yang, Chin-Ta Su, Ta-Hung Yang, Kuang-Chao Chen
  • Publication number: 20080299762
    Abstract: A method for forming an interconnect, comprising (a) providing a substrate (203) with a via (205) defined therein; (b) forming a seed layer (211) such that a first portion of the seed layer extends over a surface of the via, and a second portion of the seed layer extends over a portion of the substrate; (c) removing the second portion of the seed layer; and (d) depositing a metal (215) over the first portion of the seed layer by an electroless process.
    Type: Application
    Filed: May 29, 2007
    Publication date: December 4, 2008
    Inventors: Varughese Mathew, Eddie Acosta, Ritwik Chatterjee, Sam S. Garcia
  • Publication number: 20080299718
    Abstract: A method of forming single or dual damascene interconnect structures using either a via-first or trench first approach includes the steps of providing a substrate surface having an etch-stop layer thereon, a low-k dielectric layer on the etch-stop layer, and a dielectric capping layer on the low-k dielectric layer. In the single damascene process using trench pattern, a trench is etched through the capping layer, the low-k dielectric layer and the etch-stop layer to reach the substrate surface. In the via-first process, using a via pattern, the via is etched through the capping layer, the low-k dielectric layer and the etch-stop layer to reach the substrate surface. In the trench first process, using the via pattern the via is etched through the capping layer, the low-k dielectric layer and the etch-stop layer to reach the substrate surface.
    Type: Application
    Filed: June 1, 2007
    Publication date: December 4, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Ping Jiang, Deepak A. Ramappa
  • Patent number: 7452802
    Abstract: Disclosed herein is a method of forming metal wirings for high voltage elements. According to the present invention, after a copper film is formed, a wet etch process using an interlayer insulating film as an etch mask is performed to pattern the copper film. It is thus possible to form copper wirings for high voltage elements the width of which is very wide. Furthermore, a wet etch process using a chemical aqueous solution is performed instead of a copper polishing process. The cost for forming a metal wiring can be thus saved. Moreover, by controlling a wet etch time, the space between metal wirings, which is narrower than a width of the metal wiring, can be secured sufficiently.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: November 18, 2008
    Assignee: MangnaChip Semiconductor, Ltd.
    Inventor: Ihl Hyun Cho
  • Patent number: 7446033
    Abstract: A metal interconnection of a semiconductor device, formed using a damascene process, has large grains and yet a smooth surface. First, a barrier layer and a metal layer are sequentially formed in an opening in an interlayer dielectric layer. A CMP process is carried out on the metal layer to form a metal interconnection remaining within the opening. Then, the metal interconnection is treated with plasma. The plasma treatment creates compressive stress in the metal interconnection, which stress produces hillocks at the surface of the metal interconnection. In addition, the plasma treatment process causes grains of the metal to grow, especially when the design rule is small, to thereby decrease the resistivity of the metal interconnection. The hillocks are then removed by a CMP process aimed at polishing the portion of the barrier layer that extends over the upper surface of the interlayer dielectric layer. Finally, a capping insulating layer is formed.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: November 4, 2008
    Assignee: Samung Electronics Co., Ltd.
    Inventors: Sun-jung Lee, Soo-geun Lee, Hong-jae Shin, Andrew-tae Kim, Seung-man Choi, Bong-seok Suh
  • Patent number: 7439179
    Abstract: A method for healing detrimental bonds in deposited materials, for example porous, low-k dielectric materials, including oxydatively processing a deposited material, processing the deposited material with a trialkyl group III compound, and processing in the presence of an alcohol. Also included in embodiments of the invention are materials with bonds healed by embodiments of the claimed method.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: October 21, 2008
    Assignee: Intel Corporation
    Inventor: Michael D. Goodner
  • Patent number: 7416985
    Abstract: A multilayer interconnection structure includes a first interlayer insulation film, a second interlayer insulation film formed over the first interlayer insulation film, an interconnection trench formed in the first interlayer insulation film and having a sidewall surface and a bottom surface covered with a first barrier metal film, a via-hole formed in the second interlayer insulation film and having a sidewall surface and a bottom surface covered with a second barrier metal film, an interconnection pattern filling the interconnection trench, and a via-plug filling the via-hole, wherein the via-plug makes a contact with a surface of the interconnection pattern, the interconnection pattern has projections and depressions on the surface, the interconnection pattern containing therein oxygen atoms along a crystal grain boundary extending from the surface toward an interior of the interconnection pattern with a concentration higher than a concentration at the surface.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: August 26, 2008
    Assignee: Fujitsu Limited
    Inventors: Tamotsu Yamamoto, Hirofumi Watani, Hideki Kitada, Hiroshi Horiuchi, Motoshu Miyajima
  • Patent number: 7407879
    Abstract: An electrical interconnect structure on a substrate, which includes: a first low-k dielectric layer; a spin-on low k CMP protective layer that is covalently bonded to the first low-k dielectric layer; and a CVD deposited hardmask/CMP polish stop layer is provided. Electrical vias and lines can be formed in the first low k dielectric layer. The spin-on low k CMP protective layer prevents damage to the low k dielectric which can be created due to non-uniformity in the CMP process from center to edge or in areas of varying metal density. The thickness of the low-k CMP protective layer can be adjusted to accommodate larger variations in the CMP process without significantly impacting the effective dielectric constant of the structure.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: August 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Lee M Nicholson, Wei-Tsu Tseng, Christy S Tyberg
  • Publication number: 20080179716
    Abstract: A method of fabricating multilevel interconnects comprising providing a substrate having a pixel array area and a logical circuit area, forming a first dielectric layer on the substrate, performing a first metallizing process on the first dielectric layer to form a first patterned metal layer and a second patterned metal layer above the pixel array area and the logical circuit area separately, forming a second dielectric layer on the first patterned metal layer, the second patterned metal layer, and the first dielectric layer, performing a second metallizing process on the second dielectric layer to form a third patterned metal layer and a fourth patterned metal layer above the pixel array area and the logical circuit area separately, patterns of the fourth and the second patterned metal layer interlacing to completely cover the logical circuit area, and depositing a dielectric layer on the third and the fourth patterned metal layer.
    Type: Application
    Filed: January 29, 2007
    Publication date: July 31, 2008
    Inventor: Yan-Hsiu Liu
  • Patent number: 7405152
    Abstract: A damascene process incorporating a GCIB step is provided. The GCIB step can replace one or more CMP steps in the traditional damascene process. The GCIB step allows for selectable removal of unwanted material and thus, reduces unwanted erosion of certain nearby structures during damascene process. A GCIB step may also be incorporated in the damascene process as a final polish step to clean up surfaces that have been planarized using a CMP step.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: July 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Patent number: 7405154
    Abstract: A contact metallurgy structure comprising a patterned dielectric layer having cavities on a substrate; a silicide or germanide layer such as of cobalt and/or nickel located at the bottom of cavities; a contact layer comprising Ti or Ti/TiN located on top of the dielectric layer and inside the cavities and making contact to the silicide or germanide layer on the bottom; a diffusion barrier layer located on top of the contact layer and inside the cavities; optionally a seed layer for plating located on top of the barrier layer; a metal fill layer in vias is provided along with a method of fabrication. The metal fill layer is electrodeposited with at least one member selected from the group consisting of copper, rhodium, ruthenium, iridium, molybdenum, gold, silver, nickel, cobalt, silver, gold, cadmium and zinc and alloys thereof. When the metal fill layer is rhodium, ruthenium, or iridium, an effective diffusion barrier layer is not required between the fill metal and the dielectric.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: July 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Hariklia Deligianni, Randolph F. Knarr, Sandra G. Malhotra, Stephen Rossnagel, Xiaoyan Shao, Anna Topol, Philippe M. Vereecken
  • Patent number: 7399649
    Abstract: An underlying layer ALY of GaN is formed on a sapphire substrate SSB; a transfer layer TLY of GaN with a bump and dip shaped surface is formed on the underlying layer ALY; a light absorption layer BLY is formed on the bump and dip shaped surface of the transfer layer TLY; and a grown layer 4 of a planarization layer CLY and a structured light-emitting layer DLY having at least an active layer are formed on the light absorption layer BLY. A support substrate 2 is provided on the grown layer 4. The backside of the sapphire substrate SSB is irradiated with light of the second harmonic of YAG laser (wavelength 532 nm) to decompose the light absorption layer BLY and delaminate the sapphire substrate SSB, thereby allowing the planarization layer CLY of a bump and dip shaped surface to be exposed as a light extraction face.
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: July 15, 2008
    Assignee: Pioneer Corporation
    Inventors: Mamoru Miyachi, Hiroyuki Ota, Yoshinori Kimura, Kiyofumi Chikuma
  • Patent number: 7399699
    Abstract: Improved semiconductor reflectance arrangements (e.g., semiconductor devices, systems including semiconductor devices, methods, etc.).
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: July 15, 2008
    Assignee: Intel Corporation
    Inventors: Eyal Ginsburg, Michael Kozhukh, Alexander Talalaevsky
  • Patent number: 7396760
    Abstract: The present invention is directed to a method and system of intelligent dummy filling placement to reduce inter-layer capacitance caused by overlaps of dummy filling area on successive layers. The method and system treats each consecutive pair of layers together so as to minimize dummy filling overlaps between each layer. In particular, dummy fill features on each layer may be placed in a checkerboard pattern to avoid overlaps. As such, the present invention may eliminate large overlap area of the dummy patterns on consecutive layers by utilizing intelligent dummy filling placement.
    Type: Grant
    Filed: November 17, 2004
    Date of Patent: July 8, 2008
    Assignee: LSI Corporation
    Inventors: Kunal N. Taravade, Neal Callan, Paul G. Filseth
  • Patent number: 7397122
    Abstract: A metal wiring for a semiconductor device and a method for forming the same are provided. The metal wiring includes a first insulating layer and a second insulating layer; an interlayer insulating film formed between the first and second insulating layers, wherein the interlayer insulating film is provided with holes having a designated shape; a barrier metal layer, a copper seed layer, and a copper layer sequentially formed in the holes of the interlayer insulating film; and a capping layer formed between the interlayer insulating film and the second insulating layer. The capping layer formed between the interlayer insulating film and the second insulating layer may be made of a negatively charged insulating material, thereby improving electro-migration characteristics at an interface between the capping layer and the copper layers.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: July 8, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Won Han
  • Patent number: 7396768
    Abstract: In one method and embodiment of the present invention, at least one coil layer is formed in a write head, using a two-slurry step of copper damascene chemical mechanical polishing method with a first slurry step removing the undesirable copper that is on top of the tantalum barrier layer and on top of the trenches and a second slurry step removing the remainder of the undesirable copper, the tantalum barrier layer, the silicon dioxide hard mask layer, the hard baked photoresist layer, the magnetic alloy such as NiFe, CoFe, or CoNiFe, and alumina insulating layer for better thin film magnetic head performances.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: July 8, 2008
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Jian-Huei Feng, Hung-Chin Guthrie, Ming Jiang, Sue Siyang Zhang
  • Publication number: 20080160754
    Abstract: A method for fabricating a microelectronic structure includes forming a via aperture through a dielectric layer located over a substrate having a conductor layer therein, to expose the conductor layer. The conductor layer typically comprises a copper containing material. The method also includes etching the conductor layer to form a recessed conductor layer prior to etching a trench aperture within the dielectric layer. The trench aperture is typically contiguous with the via aperture to form a dual damascene aperture. By etching the conductor layer after forming the via aperture and before forming the trench aperture, such a dual damascene aperture is formed with enhanced dimensional integrity.
    Type: Application
    Filed: December 27, 2006
    Publication date: July 3, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John A. Fitzsimmons, Stephan Grunow, Sanjay C. Mehta, Andrew H. Simon, Chih-Chao Yang
  • Publication number: 20080146021
    Abstract: A method of fabricating metal interconnects and an inter-metal dielectric layer thereof. A first metal interconnect pattern and a second metal interconnect pattern disposed thereon are formed on a substrate by plating processes. Subsequently, an inter-metal dielectric layer is formed on the substrate, the first metal interconnect pattern and the second metal interconnect pattern. The inter-metal dielectric layer is then planarized and the second metal interconnect pattern is exposed.
    Type: Application
    Filed: March 12, 2007
    Publication date: June 19, 2008
    Inventors: Kuan-Jui Huang, Jie-Mei Huang, Chung-Hsiang Wang
  • Patent number: 7387963
    Abstract: A semiconductor wafer has an edge region with no defects larger than or equal to 0.3 ?m. The wafers are produced by a process, comprising (a) providing a semiconductor wafer having a rounded and etched edge; (b) polishing the edge of the semiconductor wafer, in which step the semiconductor wafer, which is held on a centrally rotating chuck and projects beyond the chuck and at least one polishing drum which is inclined by a specific angle with respect to the chuck, rotates centrally and is covered with a polishing cloth, are moved toward one another and pressed onto one another under a specific contact pressure with a polishing abrasive being supplied continuously; (c) cleaning the semiconductor wafer; (d) inspecting an edge region of the semiconductor wafer using an inspection unit; and (e) further processing the semiconductor wafer.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: June 17, 2008
    Assignee: Siltronic AG
    Inventors: Rudolf Rupp, Werner Aigner, Friedrich Passek
  • Patent number: 7384864
    Abstract: A method of closely interconnecting integrated circuits contained within a semiconductor wafer to electrical circuits surrounding the semiconductor wafer. Electrical interconnects are held to a minimum in length by making efficient use of polyimide or polymer as an inter-metal dielectric thus enabling the integration of very small integrated circuits within a larger circuit environment at a minimum cost in electrical circuit performance.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: June 10, 2008
    Inventor: Mou-Shiung Lin
  • Patent number: 7384865
    Abstract: A method of forming a metal line in a semiconductor device includes: forming a lower insulation layer for insulation from the lower substrate; forming a first metal line at a certain region on the lower insulation layer; sequentially forming a first oxide layer, an FSG (Fluorine-doped Silicate Glass) layer, and a second oxide layer on the lower insulation layer and the first metal line; removing the first oxide layer, the FSG layer, and the second oxide layer so as to expose the first metal line; forming an upper insulation layer on the lower insulation layer and the first metal line; forming a contact hole by etching the upper insulation layer to a degree that the first metal line is exposed; and forming a second metal line by depositing a metal material in the contact hole.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: June 10, 2008
    Assignee: Dongbuanam Semiconductor, Inc.
    Inventor: Seok-Su Kim
  • Patent number: 7381638
    Abstract: First material (106) is situated on the surface of a substructure (100 and 102) and in an opening (104), such as a Wench, that extends partway through the substructure. Second material (108) is situated on the first material in the opening. A physical sputter etch is performed on the structure while it is in a sputter etch module (206) to remove the parts of the first material overlying the substructure's surface and situated above the opening and to remove part of the second material overlying the first material in the opening so that remaining parts of the first and second materials are situated in the opening. The so-modified structure is transferred from the sputter etch module under a substantial vacuum, normally via a transfer module (202), to a deposition module (203, 204, or 205) where a layer of third material is deposited over the substructure's surface and over the parts of the first and second materials in the opening.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: June 3, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Publication number: 20080122093
    Abstract: A semiconductor device and a method for manufacturing the same are provided. The semiconductor device can include a lower metal wiring formed over a semiconductor substrate. A first metal barrier layer can be formed over the lower metal wiring and an interlayer insulating layer formed over the first metal barrier layer. An upper metal wiring can be formed over the interlayer insulating layer. A contact may be formed for electrically connecting the lower metal wiring and the upper metal wiring. A second metal barrier layer pattern having a plurality of holes can be formed over the upper metal wiring and over the interlayer insulating layer. The dielectric constant of the interlayer insulating layer may be further reduced by forming an air gap between the interlayer insulating layer and the second metal barrier layer pattern including the plurality of holes.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 29, 2008
    Inventor: Sang-Il Hwang
  • Patent number: 7378348
    Abstract: An insulating film comprising an organic silicon material having a C—Si bond and a Si—O bond is used for a semiconductor integrated circuit, and for polishing of its surface, a polishing compound comprising water and particles of at least one specific rare earth compound selected from the group consisting of a rare earth oxide, a rare earth fluoride, a rare earth oxyfluoride, a rare earth oxide except cerium oxide and a composite compound thereof, or a polishing compound having the above composition and further containing cerium oxide particles, is used. It is possible to provide a high quality polished surface which is free from or has reduced defects such as cracks, scratches or film peeling.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: May 27, 2008
    Assignees: Asahi Glass Company, Limited, Seimi Chemical Co., Ltd.
    Inventors: Sachie Shinmaru, Hiroyuki Kamiya, Atsushi Hayashi, Katsuyuki Tsugita
  • Patent number: 7375023
    Abstract: Methods and apparatus for processing substrates to improve polishing uniformity, improve planarization, remove residual material and minimize defect formation are provided. In one aspect, a method is provided for processing a substrate having a conductive material and a low dielectric constant material disposed thereon including polishing a substrate at a polishing pressures of about 2 psi or less and at platen rotational speeds of about 200 cps or greater. The polishing process may use an abrasive-containing polishing composition having up to about 1 wt. % of abrasives. The polishing process may be integrated into a multi-step polishing process.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: May 20, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Stan D. Tsai, Liang-Yuh Chen, Lizhong Sun, Shijian Li, Feng Q. Liu, Rashid Mavliev, Ratson Morad, Daniel A. Carl
  • Patent number: 7354527
    Abstract: A chemical mechanical polishing pad which has a storage elastic modulus E?(30° C.) at 30° C. of 120 MPa or less and an (E?(30° C.)/E?(60° C.)) ratio of the storage elastic modulus E?(30° C.) at 30° C. to the storage elastic modulus E?(60° C.) at 60° C. of 2.5 or more when the storage elastic moduli of a polishing substrate at 30° C. and 60° C. are measured under the following conditions: initial load: 100 g maximum bias: 0.01 % frequency: 0.2 Hz. A chemical mechanical polishing process makes use of the above chemical mechanical polishing pad. The chemical mechanical polishing pad can suppress the production of a scratch on the polished surface in the chemical mechanical polishing step and can provide a high-quality polished surface, and the chemical mechanical polishing process provides a high-quality polished surface by using the chemical mechanical polishing pad.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: April 8, 2008
    Assignee: JSR Corporation
    Inventors: Hiroyuki Tano, Hideki Nishimura, Hiroshi Shiho
  • Patent number: 7354853
    Abstract: The invention describes a method for the selective dry etching of tantalum and tantalum nitride films. Tantalum nitride layers (30) are often used in semiconductor manufacturing. The semiconductor substrate is exposed to a reducing plasma chemistry which passivates any exposed copper (40). The tantalum or tantalum nitride films are selectively removed using an oxidizing plasma chemistry.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: April 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Mona M. Eissa, Troy A. Yocum