Simultaneously By Chemical And Mechanical Means Patents (Class 438/633)
  • Patent number: 6300239
    Abstract: In manufacturing a MOS field effect transistor having a gate oxide film with a thickness of 3 nm or less, a deposition treatment or the like is performed under the condition that the substrate temperature is 650 to 770° C., and thereafter an annealing treatment is carried out under the condition that the substrate temperature is 900 to 1100° C.
    Type: Grant
    Filed: November 4, 1999
    Date of Patent: October 9, 2001
    Assignee: NEC Corporation
    Inventor: Atsuki Ono
  • Patent number: 6300241
    Abstract: A metal surface having optimized reflectance is created utilizing the following process steps alone or in combination: 1) CMP of dielectric layer underlying the metal following SOG planarization; 2) CMP of dielectric layer underlying the metal following formation of vias; 3) forming a metal adhesion layer composed of collimated titanium over the underlying dielectric; 4) depositing metal upon the adhesion layer at as low a temperature as feasible to maintain small grain size; 5) depositing at least the first layer of the reflectance enhancing coating on top of the freshly deposited metal prior to etching the metal; and 6) depositing the initial layer of the reflective enhancing coating at a temperature as close as possible to the temperature of formation of the metal electrode layer in order to suppress hillock formation in the metal. Deposition of the REC serves two distinct purposes.
    Type: Grant
    Filed: August 19, 1998
    Date of Patent: October 9, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Paul M. Moore, Kevin Carl Brown, Richard Luttrell
  • Patent number: 6297158
    Abstract: In the presently disclosed invention, a method is provided to avoid damage to a copper interconnect while subjecting the interconnect to chemical-mechanical polishing (CMP). First, a copper barrier layer is formed in a damascene structure. Then, prior to the deposition of copper metal into the damascene openings, a barrier layer is formed on the inside walls of the damascene structure. In a first embodiment, the copper barrier layer is deposited at high temperature. Then, it is cooled down in a prescribed manner. Subsequently, a copper seed layer is formed over the barrier, which is followed by the electro-chemical deposition (ECD) of copper, to form the copper damascene interconnect. Alternatively, in a second embodiment, the copper layer is formed at low temperature. Then it is annealed at a high temperature, followed by wafer cooling. Subsequently, copper seed layer is formed over the barrier layer. Next, ECD copper is formed in the damascene structure.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: October 2, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Shi Liu, Shau-Lin Shue, Chen-Hua Yu
  • Patent number: 6294460
    Abstract: A method is provided for manufacturing a semiconductor with fewer steps and minimized variation in the etching process by using SiON as a bottom antireflective (BARC) layer and hard mask in conjunction with a thin photoresist layer. In one embodiment, an etch-stop layer is deposited on a semiconductor substrate, a dielectric layer is deposited on top of the etch-stop layer, and a BARC is deposited on top of the dielectric layer. The BARC is deposited by PECVD to enrich the BARC with semiconductor material to increase the extinction coefficient of the BARC so its thickness can be reduced. A photoresist layer with a thickness less than the thickness of the BARC is then deposited on top of the BARC. The photoresist is then patterned, photolithographically processed, developed, and removed. The BARC is then etched away in the pattern developed on the photoresist and the photoresist is then removed. The BARC is then used as a mask for the etching of the dielectric layer.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: September 25, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Minh Van Ngo, Suzette K. Pangrle, Kashmir Sahota
  • Patent number: 6291335
    Abstract: A method for fabricating a semiconductor memory with a split level folded bitline structure consisting of three contact levels, in accordance with the present invention includes forming gate structures for transistors in an array region and a support region of a substrate. First contacts are formed down to diffusion regions between the gate structures in the array region. The first contacts have a height which is substantially the same for all first contacts in the array region. Second contacts are formed between first level bitlines in the array region and a first portion of the first contacts, while forming second contacts to a first metal layer from the gate structures and diffusion regions in the support region. Third contacts are formed between second level bitlines in the array region and a second portion of the first contacts, while forming third contacts to a second metal layer from the first metal layer in the support region.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: September 18, 2001
    Assignee: Infineon Technologies AG
    Inventors: Rainer Florian Schnabel, Ulrike Gruening, Thomas Rupp, Gerhard Mueller
  • Patent number: 6291331
    Abstract: A new method is provided for the creation of layers of dielectric that are used for metal stack interconnect layers where the metal stack exceeds five layers. A stack of five layers of metal interconnect lines contains one layer of Intra Metal dielectric (ILD) and four layers of Inter Metal dielectric (IMD). One or more of the layers of IMD can be formed in the conventional method. One or more of the layers of IMD can be formed in the conventional method after which a layer of high compressive PECVD is deposited over this one or more layers of IMD. The layer of high compressive PECVD provides a crack resistant film that eliminates the formation of cracks in the surface of the IMD.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: September 18, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ying-Lang Wang, Jowei Dun, Ming-Jer Lee, Tong-Hua Kuan
  • Patent number: 6291339
    Abstract: A bilayer interlayer dielectric having a spun-on low k gap filled layer is capped with a higher k dielectric layer. Prior to the capping, the spun-on low k dielectric layer is planarized to reduce or eliminate the systematic variation in the relative thickness of the layers due to pattern density effects on the thickness of the spun-on low k dielectric layer. By removing the variations in the relative thickness of the low k dielectric layer and the capping layer, the effective dielectric constant of the uniformly thick composite interlayer dielectric is independent of location on the circuit, preventing differences in circuit speed and the creation of clock skew in the circuit.
    Type: Grant
    Filed: January 4, 1999
    Date of Patent: September 18, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Simon S. Chan
  • Publication number: 20010019884
    Abstract: A damascene structure, such as a conductive line or via, having a liner with a roughened surface between the substrate and the conductive fill and, preferably, a smooth bottom. The substrate underneath the liner may also have a roughened sidewall and smooth bottom. Such a structure provides enhanced adhesion between one or more layers of the damascene structure. The damascene structure may be manufactured by applying a photoresist over a substrate top surface, exposing the photoresist under conditions that create a standing wave in the resist, and developing the photoresist to provide a pattern having the desired roughened or serrated outline. The pattern is transferred into the substrate, the liner is applied over the substrate bottom and sidewalls, and the liner is filled with conductive material. A roughened liner surface may be achieved by applying a partial layer of liner material over the substrate, removing a portion of the partial layer, and repeating the application and removal steps.
    Type: Application
    Filed: August 18, 1999
    Publication date: September 6, 2001
    Inventors: JOHN A. MILLER, ANDREW SIMON, JILL SLATTERY, CYPRIAN E. UZOH, YUN-YU WANG
  • Patent number: 6284645
    Abstract: The present invention provides a method for controlling the critical dimension of a mask in dual damascene process. The method comprises providing a semiconductor structure which has a contact pattern thereon. A dielectric layer, such as a spin-on glass layer, is formed on the semiconductor structure and the contact pattern. Then a photoresist layer is formed on the dielectric layer. Next, the photoresist layer and the dielectric layer are etched to expose partial the semiconductor structure. Then the exposed semiconductor structure is removed followed by removing the total photoresist layer and the total dielectric layer.
    Type: Grant
    Filed: March 19, 1999
    Date of Patent: September 4, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Yeong-Chih Lai, Yu-Tai Tsai, Chien-Chung Huang, Huang-Hui Wu
  • Patent number: 6284647
    Abstract: A method of enhancing chemical mechanical polishing uniformity is provided. In the fabrication of a shallow trench isolation structure, there are active area regions with different integration formed in a chip. The integration of the active area regions in the chip is computed according circuit designs by a program analysis. One of the active area regions with the highest integration is used as a basis, dummy mesas are formed in the other active area regions to adjust the integration of the chip.
    Type: Grant
    Filed: December 16, 1998
    Date of Patent: September 4, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo, Tzung-Han Lee, Wei-Wu Liao
  • Patent number: 6281121
    Abstract: An improved damascene metal interconnect for use in a semiconductor integrated circuit. By using highly directional deposition of barrier and/or seed layers the texture of the damascene structure is improved. A first barrier metal layer is deposited in a standard deposition manner, and a second barrier metal is then applied in a highly directional manner. For example, tungsten, titanium and tantalum nitrides can be used as barrier metals. Copper or aluminum based metal is deposited over the second barrier metal, and is then polished by using a chemical mechanical polish. A passivation layer can then be deposited over the interconnect.
    Type: Grant
    Filed: March 6, 1998
    Date of Patent: August 28, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dirk Dewar Brown, Takeshi Nogami, Guarionex Morales
  • Patent number: 6281114
    Abstract: A process is provided for planarization of an insulation layer, e.g., of silicon dioxide, on a semiconductor wafer, e.g., of silicon, and having a surface with a downwardly stepped chemically mechanically polished arrangement of metal lines in the insulation layer between intervening insulation portions. A first pattern portion of metal lines is separated by intervening insulation portions and defines a first pattern factor having a first value, and an adjacent second pattern portion of metal lines is separated by intervening insulation portions and defines a second pattern factor having a second value different from the first value. The second pattern portion is at a step depth relative to the insulation layer surface different from that of the first pattern portion relative to such layer surface.
    Type: Grant
    Filed: February 7, 2000
    Date of Patent: August 28, 2001
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Chenting Lin, Larry Clevenger, Ranier Florian Schnabel
  • Patent number: 6274480
    Abstract: There is provided a method of fabricating a semiconductor device, including the steps of (a) forming an insulating film on a semiconductor substrate, the insulating film being formed with a contact hole, (b) covering the insulating film with a metal film so that the contact hole is filled with the metal film, and (c) applying polishing such as CMP and wet-etching to the metal film to thereby pattern the metal film into a metal plug or a metal wiring layer. For instance, the insulating film is dipped into etchant or is exposed to vapor of etchant in the wet-etching. Since the insulating film is removed by wet-etching having a smaller removal rate than that of CMP, it is possible to minimize projection of a metal film, ensuring reliability of a semiconductor device.
    Type: Grant
    Filed: September 9, 1999
    Date of Patent: August 14, 2001
    Assignee: NEC Corporation
    Inventor: Akira Kubo
  • Patent number: 6274483
    Abstract: A new method is provided for the creation of the trenches or line patterns of damascene structures. Under the first embodiment of the invention, the trenches that are created for the copper interconnect lines are sputter etched as a result of which the corners of the trenches around the top elevation of the trenches are rounded. Under the second embodiment of the invention a disposable hard mask is created over the surface of the dielectric after which the trenches for the interconnect lines are created. The surface of the hard mask layer including the created trenches are rf sputter etched resulting in a sharp reduction of the angle of incidence between sidewalls of the trenches around the perimeter of the trenches and the surface of the layer of dielectric. The barrier and seed layers are deposited over the surface of the disposable hard mask including the created trenches, the deposited copper is polished down to the surface of the dielectric.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: August 14, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Weng Chang, Ying-Ho Chen, Syun-Ming Jang
  • Patent number: 6274478
    Abstract: A copper interconnect polishing process begins by polishing (17) a bulk thickness of copper (63) using a first platen. A second platen is then used to remove (19) a thin remaining interfacial copper layer to expose a barrier film (61). Computer control (21) monitors polish times of the first and second platen and adjusts these times to improve wafer throughput. One or more platens and/or the wafer is rinsed (20) between the interfacial copper polish and the barrier polish to reduce slurry cross contamination. A third platen and slurry is then used to polish away exposed portions of the barrier (61) to complete polishing of the copper interconnect structure. A holding tank that contains anti-corrosive fluid is used to queue the wafers until subsequent scrubbing operations (25). A scrubbing operation (25) that is substantially void of light is used to reduce photovoltaic induced corrosion of copper in the drying chamber of the scrubber.
    Type: Grant
    Filed: July 13, 1999
    Date of Patent: August 14, 2001
    Assignee: Motorola, Inc.
    Inventors: Janos Farkas, Brian G. Anthony, Abbas Guvenilir, Mohammed Rabiul Islam, Venkat Kolagunta, John Mendonca, Rajesh Tiwari, Suresh Venkatesan
  • Patent number: 6274479
    Abstract: The invention is a method for constructing an integrated circuit structure and an apparatus produced by the method. The method generally comprises constructing an integrated circuit structure by disposing a layer of doped oxide, the dopant being iso-electronic to silicon, and then reflowing the layer of doped oxide. Thus, the apparatus of the invention is an integrated circuit structure comprising a reflowed layer of doped oxide wherein the dopant is iso-electronic to silicon. In one particular embodiment, the method generally comprises constructing an integrated circuit feature on a substrate; disposing a layer of doped oxide, the dopant being iso-electronic to silicon, over the integrated circuit feature and the substrate in a substantially conformal manner; reflowing the layer of doped oxide; and etching the insulating layer and the oxide.
    Type: Grant
    Filed: August 21, 1998
    Date of Patent: August 14, 2001
    Assignee: Micron Technology, INC
    Inventor: Anand Srinivasan
  • Patent number: 6274509
    Abstract: A method of planarizing a layer of dielectric material is disclosed herein that is particularly suitable for planarizing inter-layer-dielectrics (ILD) or inter-metal-dielectrics (IMD). The planarizing method comprises the steps of depositing a layer of sacrificial oxide over the dielectric material, depositing a layer of amorphous silicon over the sacrificial oxide layer by either sputtering or plasma enhanced chemical vapor deposition (PECVD) at a temperature less than about 500 degrees Celsius, performing a first chemical-mechanical polishing of the amorphous silicon layer to form a self-aligned mask for a subsequent etching step, etching a portion of the sacrificial oxide layer to form a channel therein, and performing a second chemical-mechanical polishing to remove the remaining amorphous silicon layer and the remaining sacrificial oxide, and to substantially planarize the underlying dielectric material.
    Type: Grant
    Filed: January 28, 1999
    Date of Patent: August 14, 2001
    Assignee: Mosel Vitelic, Inc.
    Inventors: Tzung-Rue Hsieh, Wen-Wei Lo
  • Patent number: 6271106
    Abstract: A method of manufacturing a semiconductor component includes sequentially disposing a first electrically conductive layer (130), a dielectric layer (140), and a sacrificial layer (150) over a substrate (110). An etch mask is used to defined a gate stack (210) comprised of the sacrificial layer (150), the dielectric layer, and the first electrically conductive layer. Another dielectric layer (310) is deposited over the substrate (110) and the gate stack (210). This second dielectric layer (310) is planarized to expose the sacrificial layer (150). The sacrificial layer (150) of the gate stack (210) and the dielectric layer (140) of the gate stack (210) are sequentially removed, and another electrically conductive layer (740) is deposited over the first electrically conductive layer of the gate stack to form a gate electrode made of, among other features, two electrically conductive layers.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: August 7, 2001
    Assignee: Motorola, Inc.
    Inventors: Gordon M. Grivna, Richard A. Keating, Gordon C. Ma
  • Patent number: 6271124
    Abstract: A semiconductor memory device with a capacitor-over-bitline (COB) structure and a method for fabricating the same. The semiconductor memory device includes a transistor having a gate electrode formed on a gate insulating layer on a semiconductor substrate and having source and drain regions formed on the surface of the substrate and separated from each other by the gate electrode, a first interlayer insulating layer formed over the substrate including the transistor; a bitline formed over the first interlayer insulating layer; and a second interlayer insulating layer formed over the substrate including the bitline, for insulating the bitline from a storage node of a capacitor. A surface of the second interlayer insulating layer is planarized by a chemical-mechanical polishing (CMP) process so as to be substantially parallel to a surface of the substrate including the bitline.
    Type: Grant
    Filed: September 13, 1999
    Date of Patent: August 7, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Gyoo Choi, Jun-Yong Noh
  • Patent number: 6271123
    Abstract: A method using chemical-mechanical polishing for planarizing a BPSG layer 30 using a overlying Undoped Silicate Glass (USG) cap layer 40 comprising: (a) form a BPSG layer 30 over the semiconductor structure 12; the BPSG layer 30 over the periphery area 16 having a first thickness; (b) form a cap layer 40 composed of undoped silicon glass (USG) over the BPSG layer 30; the cap layer has a thickness that is at less than half of the first thickness of the BPSG layer; the top surface of the cap layer 40 in the cell area 14 is higher than the top surface of the BPSG layer 30 in the periphery area 16; (c) chemical-mechanical polish the cap layer 40 and the BPSG layer 30 over the cell area using the cap layer 40 over the periphery area 16 to retard the chemical-mechanical polish process when the top surface of the BPSG layer 30 over the cell area 14 is even with the cap layer 40 over the periphery area 16; and the cap layer 40 remains over the Periphery area 16.
    Type: Grant
    Filed: May 29, 1998
    Date of Patent: August 7, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Syun-Ming Jang, Chung-Long Chang
  • Patent number: 6271132
    Abstract: A method of fabricating a transistor having shallow source and drain extensions utilizes a self-aligned contact. The drain extensions are provided through an opening between a contact area and the gate structure. A high-k gate dielectric material can be utilized. P-MOS and N-MOS transistors can be created according to the disclosed method.
    Type: Grant
    Filed: May 3, 1999
    Date of Patent: August 7, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Matthew S. Buynoski, Ming-Ren Lin
  • Patent number: 6271120
    Abstract: A rapid thermal anneal (>600° C.) in a nitrogen-containing atmosphere is used to form a barrier TiN layer at the bottom of contact openings. To form source and drain contacts, contact openings are etched in a dielectric down to a titanium silicide layer on top of doped regions in the semiconductor (i.e. polysilicon or doped regions in the semiconductor substrate). The barrier TiN layer on the bottom of the contact openings is provided by a rapid thermal anneal in a nitrogen-containing atmosphere which converts the top part of the titanium silicide layer in the contact openings into a barrier TiN layer. This nitrogen-containing atmosphere contains nitrogen-containing species (e.g., N2, NH3, N2O) that react with titanium silicide to form TiN under the conditions provided by the rapid thermal anneal.
    Type: Grant
    Filed: March 10, 1995
    Date of Patent: August 7, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Richard J. Huang, Robin W. Cheung
  • Patent number: 6271118
    Abstract: A method is described. A substrate is provided. A first conductive layer with a first width and a second conductive layer with a second width are formed on the substrate. Photolithography and etching processes are performed on the dielectric layer to at least expose a first region of the first conductive layer and a second region of the second conductive layer. An oxide layer is then formed over the dielectric layer and the exposed first and second conductive layers. The method of applying partial reverse mask is able to resolve the adhesion problem of the dielectric layer with low dielectric constant.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: August 7, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Juan-Yuan Wu, Water Lur
  • Patent number: 6268277
    Abstract: A method of reducing intralevel capacitance in a damascene metalization process employs entrapped air gaps between metal lines. The method involves forming a metalization pattern using a damascene process which includes forming at least first and second metal regions separated by a dielectric region, forming an air gap at least partially within the dielectric region, and sealing the air gap to entrap the air gap between the first and second metal regions thereby reducing intralevel capacitance between the first and second metal regions.
    Type: Grant
    Filed: July 16, 1999
    Date of Patent: July 31, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: David Bang
  • Publication number: 20010009804
    Abstract: An insulating layer is formed on a semiconductor element fabricated in a semiconductor substrate. A groove, with a connecting hole at the bottom part thereof when required, is formed in the insulating layer. A barrier layer is formed on the inner surface of the groove, and the connecting hole, and on the insulating layer. A conductive layer is formed in the groove and on the insulating layer, and is buried into the groove by applying high temperature and high pressure. Then, the conductive layer on the insulating layer is polished to leave the conductive layer in the groove by a CMP method to form an electrodes wire composed of the conductive layer material.
    Type: Application
    Filed: August 25, 1997
    Publication date: July 26, 2001
    Inventor: KAZUYOSHI MAEKAWA
  • Publication number: 20010009304
    Abstract: On a silicon oxide film covering a gate electrode portion, a reflowed and polished BPSG film is formed. A second interconnection layer is formed on the BPSG film. To cover the second interconnection layer, a silicon oxide film having a thickness of at least the substantial thickness of the second interconnection layer is formed on a silicon oxide film. Thus, the planarity of the base of the interconnection layer is ensured and displacement of the interconnection layer is suppressed. Accordingly, a semiconductor device having a high degree of integration is obtained.
    Type: Application
    Filed: February 12, 2001
    Publication date: July 26, 2001
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Isao Tottori
  • Patent number: 6263586
    Abstract: A device and method for planarizing a film layer device on a silicon wafer. The device has a circular track whose surface faces the track center, a carrier capable of moving along the track and carrying wafers around with their front surfaces facing the center, and a set of heating elements for heating the film layers on the wafers to make them fluid. Utilizing the centrifugal force on the film layer generated by the circular movement and the fluidity of the film layer provided by heating, planarization of the film layer is achieved.
    Type: Grant
    Filed: July 9, 1999
    Date of Patent: July 24, 2001
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kung Linliu
  • Patent number: 6265307
    Abstract: A dual damascene manufacturing process, which is applicable to a dual damascene structure, is described. The method includes forming sequentially a first organic dielectric layer with a low dielectric constant, a thermal diffusion layer and a second organic dielectric layer with a low dielectric constant on a substrate. A first mask layer with a trench line pattern and a second mask layer with a via opening pattern are then formed on the substrate, respectively. The second organic dielectric layer with a low dielectric constant and the thermal diffusion layer are etched using the second mask layer as a hard mask layer to transfer the via opening pattern onto the thermal diffusion layer, and the second mask layer is then removed. The first and the second organic dielectric layer with a low electric constant are removed by using the first mask layer and the thermal diffusion layer as hard mask layers to form a trench line and a via opening. After that, the dual damascene structure is completed.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: July 24, 2001
    Assignee: Taiwan Semiconductor Manufacturing, Co., Ltd.
    Inventor: Chine-Gie Lou
  • Patent number: 6261954
    Abstract: A new method of depositing a copper layer, using disproportionation of Cu(I) ions from a solution stabilized by a polar organic solvent, for single and dual damascene interconnects in the manufacture of an integrated circuit device has been achieved. A dielectric layer, which may comprise a stack of dielectric material, is provided overlying a semiconductor substrate. The dielectric layer is patterned to form vias and trenches for planned dual damascene interconnects. A barrier layer is deposited overlying the dielectric layer to line the vias and trenches. A simple Cu(I) ion solution, stabilized by a polar organic solvent, is coated overlying said barrier layer. Water is added to the stabilized simple Cu(I) ion solution to cause disproportionation of the simple Cu(I) ion from the Cu(I) ion solution. A copper layer is deposited overlying the barrier layer.
    Type: Grant
    Filed: February 10, 2000
    Date of Patent: July 17, 2001
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Paul Kwok Keung Ho, Subhash Gupta, Mei Sheng Zhou, Simon Chooi
  • Patent number: 6261945
    Abstract: A copper-interconnect, low-K dielectric integrated circuit has reduced corrosion of the interconnect when the crackstop next to the kerf is also used as the primacy barrier to oxygen diffusion through the dielectric, with corresponding elements of the crackstop being constructed simultaneously with the circuit interconnect elements; e.g. horizontal interconnect elements have a corresponding structure in the crackstop and vias between interconnect layers have corresponding structures in the crackstop.
    Type: Grant
    Filed: February 10, 2000
    Date of Patent: July 17, 2001
    Assignee: International Business Machines Corporation
    Inventors: Henry A. Nye, III, Vincent J. McGahay, Kurt A. Tallman
  • Patent number: 6261950
    Abstract: A method for connecting metal structures with self-aligned metal caps, in accordance with the invention, includes providing a metal structure in a first dielectric layer. The metal structure and the first dielectric layer share a substantially planar surface. A cap metal is selectively depositing on the metal structure such that the cap metal is deposited only on the metal structure. A second dielectric layer is formed over the cap metal. The second dielectric layer is opened to form a via terminating in the cap metal. A conductive material is deposited in the via to provide a contact to the metal structure through the cap metal.
    Type: Grant
    Filed: October 18, 1999
    Date of Patent: July 17, 2001
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Dirk Tobben, Jeffrey Gambino
  • Patent number: 6261905
    Abstract: A flash memory cell and the making thereof is disclosed where the cell has a damascene-like stacked gate. The stacked gate is formed not by blanket depositing a first polysilicon layer and then subtractively etching to form a floating gate followed by the depositing of a second polysilicon layer separated by an intervening inter-gate dielectric layer over the floating gate. On the contrary, a trench is formed in a nitride layer formed over a substrate using a modified damascene process. The first polysilicon layer is conformally deposited into the damascene-like trench to form the floating gate of the disclosed cell. Then, a layer of inter-gate dielectric layer is formed over the first polysilicon layer in the trench, followed by the forming of a second polysilicon layer over the dielectric layer, thus forming the damascene-like stacked gate of this invention.
    Type: Grant
    Filed: April 28, 2000
    Date of Patent: July 17, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jong Chen, Chrong-Jong Lin, Hung-Der Su, Wen-Ting Chu
  • Patent number: 6258711
    Abstract: A method of reducing dishing and erosion of surfaces of inlaid material on semiconductor wafers. The method includes forming a sacrificial deposit or layer over at least down features of the patterned surface of the fill layer, that has a lower rate of removal during chemical-mechanical polishing than the fill layer. Elevated caps of sacrificial deposit are formed over inlaid fill material prior to pattern clearing. In CMP pattern clearing, the caps are removed and polishing proceeds at a faster rate on the slightly elevated inlaid fill upper surfaces until they are coplanar with surrounding patterned substrate. Chemical-mechanical polishing can be carried out in a single step, or in multiple steps, to produce the desired result.
    Type: Grant
    Filed: April 19, 1999
    Date of Patent: July 10, 2001
    Assignee: SpeedFam-IPEC Corporation
    Inventor: Thomas Laursen
  • Patent number: 6255211
    Abstract: Silicon carbide (SiC) is used as the stop layer for the chemical-mechanical polishing used to planarize the surface of interlevel dielectrics, making the resistance of the vias more uniform. Alternatively, silicon carbonitride or silicon carboxide can be used in place of silicon carbide.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: July 3, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Leif C. Olsen, Leland S. Swanson
  • Patent number: 6251781
    Abstract: A method of fabricating single and dual damascene copper interconnects is achieved. A semiconductor substrate layer is provided. Conductive traces are provided in an isolating dielectric layer. An intermetal dielectric layer is deposited overlying the conductive traces and the isolating dielectric layer. The intermetal dielectric layer is patterned to form trenches to expose the top surfaces of the underlying conductive traces. A barrier layer is deposited overlying the intermetal dielectric layer, the exposed conductive traces, and within the trenches. A platinum ionic seed solution is coated inside the trenches and overlying the barrier layer. A platinum seed layer is deposited from the ionic seed solution by exposing the platinum ionic seed solution to ultraviolet light.
    Type: Grant
    Filed: August 16, 1999
    Date of Patent: June 26, 2001
    Assignees: Chartered Semiconductor Manufacturing Ltd., National University of Singapore
    Inventors: Mei Sheng Zhou, Guo-Qin Xu, Lap Chan
  • Patent number: 6251789
    Abstract: An embodiment of the instant invention is a method of fabricating a semiconductor device with a patterned dielectric layer having an upper surface and an opening with a bottom and sidewalls formed over a semiconductor substrate, the method comprising the steps of: forming a liner layer (layer 434 of FIGS. 1b-1d) on the upper surface of the patterned dielectric layer and on the bottom and the sidewalls of the opening in the patterned dielectric layer; forming a conductive layer (layer 436 of FIGS.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: June 26, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Arthur M. Wilson, Jody D. larsen
  • Patent number: 6251773
    Abstract: In a semiconductor device using fill shape patterns incorporated into wiring levels to increase the planarity of the wiring levels, the fill shapes are aligned from one wiring level to another wiring level to provide lines of sight to lower wiring levels for visual inspection. Also, in accordance with the invention, selected aligned fill shapes are interconnected with vias to form conductive stacks for contacting lower wiring level conductive wires from upper wiring levels in order to perform electrical test probing/diagnostics.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Thomas J. Hartswick, Mark E. Masters
  • Patent number: 6248660
    Abstract: A method for forming a metallic plug capable of preventing the occurrence of defects due to a short between conductive layers and the decrease of reliability in a conductive layer caused by a change of plug resistance by polishing and eliminating a filling film and an adhesive layer using a slurry for polishing a metal after eliminating a part of the filling film by dry etching beforehand. A metal plug can be obtained by the following steps: forming an end connection opened in an interlayer dielectric so as to expose the surface of a conductive layer under the interlayer dielectric; forming an adhesive layer on the exposed conductive layer and on the interlayer dielectric; forming a filling film on the adhesive layer, which fills the end connection completely; eliminating 60% or more of the film thickness of the filling film formed by dry etching; and polishing and eliminating the filling film and the adhesive layer using a slurry for polishing a metal.
    Type: Grant
    Filed: January 26, 1998
    Date of Patent: June 19, 2001
    Assignee: Matsushita Electronics Corporation
    Inventors: Masashi Hamanaka, Tetsuo Ishida, Masafumi Shishino
  • Patent number: 6245669
    Abstract: The present invention provides an anti-reflective Si-Rich Silicon oxynitride (SiON) etch barrier layer and two compatible oxide etch processes. The Si-Rich Silicon oxynitride (SiON) etch barrier layer can be used as a hard mask in a dual damascene structure and as a hard mask for over a polysilicon gate. The invention has the following key elements: 1) Si rich Silicon oxynitride (SiON) ARC layer, 2) Special Silicon oxide Etch process that has a high selectivity of Si-Rich SiON to silicon oxide or SiN; 3) Special Si Rich SiON spacer process for a self aligned contact (SAC). A dual damascene structure is formed by depositing a first dielectric layer. A novel anti-reflective Si-Rich Silicon oxynitride (SiON) etch barrier layer is deposited on top of the first dielectric layer. A first opening is etched in the first insulating layer. A second dielectric layer is deposited on the anti-reflective Si-Rich Silicon oxynitride (SiON) etch barrier layer.
    Type: Grant
    Filed: February 5, 1999
    Date of Patent: June 12, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chu Yun Fu, Chia Shiung Tsai, Syun-Ming Jang
  • Patent number: 6245653
    Abstract: The present invention is about a method for filling an opening in an insulating layer in a fast and highly reliable way and can be used to fill openings such as trenches and via holes simultaneously. This method is based on the principle of reaction enhanced wetting and simultaneous seed layer formation. The idea is, in contrast to trying to avoid the TiAl3 formation, to use this reaction to its advantage for the creation of an ultra-thin continuous Al-containing seed layer. The latter allows a bottom to top fill during the subsequent Al-containing metal deposition. As a consequence, the filling process proceeds much faster and is production worthy.
    Type: Grant
    Filed: March 24, 1999
    Date of Patent: June 12, 2001
    Assignees: Applied Materials, Inc., Interuniversity Microelectronics Center, vzw
    Inventors: Gerald Beyer, Karen Maex, Joris Proost
  • Patent number: 6242337
    Abstract: In a method of manufacturing a semiconductor device, a first interlevel insulating film is formed on a silicon wafer. A metal film is formed on the first interlevel insulating film. The metal film is formed to form a first electrode wiring layer having an end located inside an end of the first interlevel insulating film on a peripheral portion of the silicon wafer. An insulating film is formed on the silicon wafer including the first interlevel insulating film and the first electrode wiring layer. A second interlevel insulating film having an end located outside the end of the first electrode wiring layer on the peripheral portion of the silicon wafer is formed by processing the insulating film. A device manufactured by this manufacturing method is also disclosed.
    Type: Grant
    Filed: October 8, 1998
    Date of Patent: June 5, 2001
    Assignee: NEC Corporation
    Inventor: Norio Okada
  • Patent number: 6242343
    Abstract: A process for fabricating a semiconductor device having a multilayer wiring, comprising steps of: forming a first wiring or electrode on a substrate; forming an insulating film which covers the first wiring or electrode; forming a contact hole to the first wiring or electrode through the insulating film; forming a wiring for contacting the first wiring or electrode inside the contact hole; and removing the protruded portion of the contact wiring and flattening the insulating film at the same time in an electrolytic solution by means of chemical mechanical polishing using the contact wiring as the anode. Also claimed is an apparatus for polishing the surface of a semiconductor device during its fabricating the device, comprising: means for performing chemicomechanical polishing; and means for supplying electric current to the electrode of the semiconductor device.
    Type: Grant
    Filed: February 5, 1997
    Date of Patent: June 5, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Satoshi Teramoto
  • Patent number: 6239020
    Abstract: A method for fabricating an interlayer dielectric layer on a semiconductor substrate with a memory cell region and a periphery circuit region is described, wherein semiconductor devices are formed on the memory cell region and the periphery circuit region so as to result in a height variation therebetween. The present method comprises the steps of forming a first dielectric layer blanket-covering the semiconductor substrate, wherein a first height variation exists between the memory cell region and the periphery circuit region. Then, a stop layer is conformally blanket formed on the first dielectric layer. Next, a second dielectric layer is conformally formed on the stop layer. A chemical mechanical polishing process is executed on the second dielectric layer until the stop layer on the memory cell region is exposed. This formation of the structure of a first dielectric layer/stop layer/second dielectric layer is repeated at least two times to achieve a planarized interlayer dielectric layer.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: May 29, 2001
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chine-Gie Lou
  • Patent number: 6235632
    Abstract: In a preferred embodiment, there is disclosed a method of forming a tungsten plug at the via level. A metal line is formed in a top portion of a first insulating layer. A second insulating layer is formed on the first insulating layer and over an exposed surface of the metal line. An etching process is applied to a region of the second insulating layer formed over the exposed surface of the metal line to create a contact hole within the region. The metal line is exposed at the region. A tungsten nitride thin film is deposited over the second insulating layer and the exposed metal line. A blanket tungsten thin film is deposited to fill the contact hole and to form a planar layer successively to the depositing of the tungsten nitride thin film. The tungsten nitride thin film and the blanket tungsten thin film are chemically mechanically polished until the upper surface of the second insulating layer is exposed.
    Type: Grant
    Filed: January 13, 1998
    Date of Patent: May 22, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Takeshi Nogami, Guarionex Morales, Minh Van Ngo
  • Patent number: 6235633
    Abstract: A novel two-step chem/mech polishing process is described for making tungsten metal plugs in a low-k polymer intermetal dielectric (IMD) layer for ULSI circuits. Since the etch selectivity between the polymer and photoresist is low, a hard mask (SiO2) is used over the low-k IMD layer to allow contact openings to be etched in the low-k polymer. A tungsten metal is deposited and a first polishing step, having a high polishing selectivity of tungsten to SiO2, is used to form tungsten plugs. However, during the etching of the contact openings, erosion of the hard mask at the periphery of the openings is damaged and degrades the IMD, and causes residual metal between the plugs to cause intralevel shorts. To eliminate this problem, a second shorter polishing step, having a low polishing selectivity of tungsten to SiO2, is then used to remove the hard mask and remove any residual metal between adjacent metal plugs.
    Type: Grant
    Filed: April 12, 1999
    Date of Patent: May 22, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Syun-Ming Jang
  • Patent number: 6228759
    Abstract: An alloy precipitate is formed to surround a conductive fill within an interconnect opening, including especially a top surface of the conductive fill, to prevent drift of material from the conductive fill into the insulating layer that is surrounding the interconnect opening. An alloy material is deposited non-conformally such that the alloy material is deposited substantially only toward a top of the sidewalls of an interconnect opening and substantially only toward a center of the bottom wall of the interconnect opening. The interconnect opening is filled with the conductive material by growing the conductive material from a seed layer of the conductive material to form a conductive fill of the conductive material within the interconnect opening. The semiconductor wafer is heated to anneal the conductive fill within the interconnect opening such that the conductive fill forms into a substantially single grain structure.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: May 8, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Pin-Chin C. Wang, Christy M. Woo, Sergey Lopatin
  • Patent number: 6228711
    Abstract: The present invention is a method of fabricating a dynamic random access memory. The node contact opening and the capacitor opening are combined in a step of the dual damascene opening process during the capacitor formation. The bottom of the capacitor is embedded in the dual damascene opening. The conducting layer used for forming the bottom plates is polished by chemical mechanical polishing to form the bottom plates that are separated each other. Therefore, patterning of bottom plate by photolithography and etching is not necessary in the present invention.
    Type: Grant
    Filed: November 30, 1999
    Date of Patent: May 8, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Yong-Fen Hsieh
  • Patent number: 6228707
    Abstract: A semiconductor manufacturing process is used to develop capacitors in compact areas such as at or near the interconnect level. According to one example embodiment, a substrate having a first and second conductor is separated by a dielectric, once the dielectric is removed a trench is formed, and a first material including silicon nitride is deposited over the substrate so that it covers the trench. A second material, including metal, is then deposited over the first material, covering it and the first and second conductors. CMP is then used to remove the metal over the field and isolate the filled metal from adjacent metals causing the silicon nitride to act as a natural CMP etch-stopper and protecting other areas of the interconnect from damage by the CMP.
    Type: Grant
    Filed: June 21, 1999
    Date of Patent: May 8, 2001
    Assignee: Philips Semiconductors, Inc.
    Inventor: Xi-Wei Lin
  • Patent number: 6221759
    Abstract: Disclosed is a method for forming an aligned via under a trench to prevent voiding in a dual damascene process. The trench is formed in an oxide layer that is formed over a first metal layer and the first metal layer is formed over a semiconductor substrate. The method includes forming an etch stop layer over the oxide layer and forming a set of adjacent trenches in the oxide layer through a portion of the etch stop layer. The method also includes forming a resist layer at least partially over the etch stop layer. The resist layer is formed in a via pattern to expose the set of adjacent trenches through the via pattern. The method further includes etching the oxide layer under the set of adjacent trenches until the oxide layer is etched through to expose at least a portion of the first metal layer so as to form a via under each of the adjacent trenches.
    Type: Grant
    Filed: June 19, 1998
    Date of Patent: April 24, 2001
    Assignee: Philips Electronics North America Corp.
    Inventors: Subhas Bothra, Stephen L. Skala
  • Patent number: 6221704
    Abstract: Semiconductor devices are fabricated by providing a substrate; forming isolation regions in the substrate; forming a first insulating layer on the isolation regions and the substrate; forming a conductive-forming layer on the first insulating layer; forming a second insulating layer on the conductive layer; forming a resist layer on the second insulating layer; forming an opening through the resist down to the second insulating layer located vertically between the isolation region; removing the second insulating layer beneath the opening down to the conductive-forming layer; depositing a conductive material through the opening over the conductive layer; planarizing the second insulating layer and the conductive material; removing the second insulating layer, the conductive-forming layer and the first insulating layer except beneath the conductive material; and forming source/drain regions in the substrate; or by providing a substrate; forming isolation regions in the substrate; forming a first insulating laye
    Type: Grant
    Filed: June 3, 1998
    Date of Patent: April 24, 2001
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, James S. Nakos, Paul A. Rabidoux