Simultaneously By Chemical And Mechanical Means Patents (Class 438/633)
  • Patent number: 6221777
    Abstract: A reverse lithographic process is provided for more densely packing semiconductors onto a semiconductor wafer. A semiconductor wafer having a dielectric covered semiconductor device has a photoresist deposited which is patterned with vias in closely packed rows and columns. The resist is developed and trimmed to form via photoresist structures. A non-photosensitive polymer is deposited over the via photoresist structures and, when hardened, is subject to planarizing to expose the via photoresist structures. The via photoresist structures are removed and leave a reverse image patterned polymer. The photoresist is removed leaving the reverse image patterned polymer, which is then used to etch the dielectric to form vias to the semiconductor device.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: April 24, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bhanwar Singh, Bharath Rangarajan, Ursula Q. Quinto
  • Patent number: 6218286
    Abstract: A method of providing a chemical mechanical polishing planarization process for preventing multi-polysilicon and multi-metal level electrical shorts, which includes briefly the sequential processing steps of i) providing an insulating layer to a first thickness over a device wafer with non-planar surface topography; ii) chemical-mechanical polishing the first insulating layer; and iii) deposition of another polysilicon layer of second thickness to prevent the barely exposed or exposed underlying polysilicon from shorting to the next polysilicon or metal level of interconnects.
    Type: Grant
    Filed: September 13, 1999
    Date of Patent: April 17, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Hui Su, Mong-Song Liang, Shou-Gwo Wuu, Chen-Jong Wang
  • Patent number: 6218291
    Abstract: A method for forming contact plugs and simultaneously planarizing a substrate surface in an integrated circuit. Initially, a conductive structure is formed on a semiconductor substrate having a plurality of diffusion regions therein. A first insulating layer is formed over the semiconductor substrate including the conductive structure. The first insulating layer is etched using a contact hole forming mask to form a contact hole. A conductive layer is formed on the first insulating layer filling up the contact hole with the conductive layer. The conductive layer is etched until an upper surface of the first insulating layer is exposed. A second insulating layer is formed over the first insulating layer. A contact plug free of voids is formed and simultaneously a substrate surface is planarized by planarization-etching the second and first insulating layers.
    Type: Grant
    Filed: May 7, 1999
    Date of Patent: April 17, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bo-Un Yoon, Seok-Ji Hong
  • Patent number: 6218290
    Abstract: The formation and/or growth of dendrites emanating from Cu or Cu alloy lines into a bordering open dielectric field are prevented or substantially reduced by chemically removing a portion of the surface from the dielectric field and between the lines after CMP. Embodiments include removing up to 20 Å of silicon oxide by buffing with a solution containing ammonium fluoride, diammonium hydrogen citrate, triammonium citrate and dionized water.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: April 17, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Diana M. Schonauer, Steven C. Avanzino, Kai Yang
  • Patent number: 6218284
    Abstract: A method for forming an inter-metal dielectric layer without voids therein is described. Wiring lines are formed on a provided substrate. Each of the wiring lines comprises a protective layer thereon. A liner layer is formed over the substrate and over the wiring lines. A fluorinated silicate glass (FSG) layer is formed on the liner layer by using high density plasma chemical vapor deposition (HDPCVD). A thickness of the FSG layer is about 0.9-1 times a thickness of the wiring lines. A cap layer is formed on the FSG layer using HDPCVD. A thickness of the cap layer is about 0.2-0.3 times a thickness of the wiring lines. An oxide layer is formed on the cap layer to achieve a predetermined thickness. A part of the dielectric layer is removed to obtain a planarized surface.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: April 17, 2001
    Assignee: United Microelectronics, Corp.
    Inventors: Chih-Chien Liu, Cheng-Yuan Tsai, Wen-Yi Hsieh, Water Lur
  • Patent number: 6211057
    Abstract: In accordance with the objectives of the invention a new method of forming air gaps between adjacent conducting lines of a semiconductor circuit is achieved. A pattern of metal lines is deposited over an insulating layer. A layer of oxynitride (SiON) is deposited over the pattern of metal lines and the exposed surface of the insulating layer. PECVD oxide is deposited over the layer of oxynitride; the PECVD oxide is removed down to the top surface of the layer of oxynitride overlying the metal pattern. A layer of SOON is deposited over the surface of the polished oxynitride and the polished PECVD oxide. A trench is etched between the conducting line pattern through the layer of SOON and into the PECVD oxide. The profile of this trench is aggressively expanded converting the trench profile from a rectangular profile into an arch-shaped profile. The top region of the arch-shaped profile is closed off by depositing a layer of dielectric over the surface of the layer of SOON.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: April 3, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shih-Chi Lin, Yen-Ming Chen
  • Patent number: 6211067
    Abstract: A method for manufacturing a metal plug. The method includes the steps of providing a substrate having an insulation layer thereon, and then forming an opening in the insulation layer. Next, a conformal barrier layer is formed over the insulation layer and the sidewalls of the opening, and then metal is deposited to fill the opening and cover the barrier layer. Thereafter, the metallic layer above the barrier layer is etched back so that a metal plug is formed inside the opening. Finally, a chemical-mechanical polishing operation is carried out using the insulation layer as a polishing stop. A low polishing speed is used in the polishing operation so that a highly planar metal plug surface is obtained.
    Type: Grant
    Filed: May 6, 1999
    Date of Patent: April 3, 2001
    Assignee: Taiwan Semiconductor Mfg. Co., Ltd.
    Inventor: Chang-Hui Chen
  • Patent number: 6211084
    Abstract: The adhesion of a diffusion barrier or capping layer to Cu and/or Cu alloy interconnect members is significantly enhanced by treating the exposed surface of the Cu and/or Cu alloy interconnect members with a silane or dichlorosilane plasma to form a layer of copper silicide thereon prior to depositing the capping layer. Embodiments include electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, treating the exposed surface of the Cu or Cu alloy interconnect member in a silane or dichlorosilane plasma to form the copper silicide layer and depositing a capping layer of silicon nitride thereon.
    Type: Grant
    Filed: July 9, 1998
    Date of Patent: April 3, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Shekhar Pramanick, Takeshi Nogami
  • Patent number: 6207555
    Abstract: A process for the formation of structures in microelectronic devices such as integrated circuit devices. Vias, interconnect metallization and wiring lines are formed using single and dual damascene techniques wherein dielectric layers are treated with a wide electron beam exposure.
    Type: Grant
    Filed: March 17, 1999
    Date of Patent: March 27, 2001
    Assignee: Electron Vision Corporation
    Inventor: Matthew F. Ross
  • Patent number: 6207571
    Abstract: In accordance with the present invention, there is provided a method for fabricating a contact on an integrated circuit, such as a DRAM. The method includes the following steps. A gate stack is formed on the integrated circuit. A spacer is formed on sidewalls of the gate stack. An insulating film is formed on the integrated circuit. The insulating film is planarized. Finally, a gate contact opening is formed through the planarized insulating film. In one embodiment, the gate contact opening is formed by removing the insulator, spacer and insulating film by etching. In this embodiment, the insulator, spacer and insulating film are etched at substantially similar rates. As a result, the integrated circuit is tolerant of mask misalignments, and does not over-etch field oxide or create silicon nitride slivers. In another embodiment, the planarizing step is performed with chemical mechanical planarization to form a substantially flat topography on the surface of the integrated circuit.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: March 27, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Werner Juengling, Kirk Prall, Trung T. Doan, Guy T. Blalock, David Dickerson, David S. Becker
  • Patent number: 6207554
    Abstract: It is the general object of the present invention to provide an improved method of fabricating semiconductor integrated circuit devices, specifically by describing an improved process of fabricating multilevel metal structures using low dielectric constant materials. The present invention relates to an improved processing methods for stable and planar intermetal dielectrics, with low dielectric constants. The first embodiment uses a stabilizing adhesion layer between the bottom, low dielectric constant layer and the top dielectric layer. The advantages are: (i) improved adhesion and stability of the low dielectric layer and the top dielectric oxide (ii) over all layer thickness of the dielectric layers can be reduced, hence lowering the parasitic capacitance of these layers. In the second embodiment, the method uses a multi-layered “hard mask” on metal interconnect lines with a silicon oxynitride DARC, dielectric anti-reflective coating on top of metal.
    Type: Grant
    Filed: July 12, 1999
    Date of Patent: March 27, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yi Xu, Jia Zhen Zheng, Jane C. M. Hui, Charles Lin, Yih Shung Lin
  • Patent number: 6207630
    Abstract: Compositions and methods for processing (e.g., cleaning) substrates, such as semiconductor-based substrates, as well as processing equipment, include one or more compounds of Formula (I): wherein each R1, R2, R3, and R4 is independently H or an organic group.
    Type: Grant
    Filed: January 6, 2000
    Date of Patent: March 27, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 6207569
    Abstract: The formation and/or growth of dendrites emanating from Cu or Cu alloy lines into a bordering open dielectric field are prevented or substantially reduced by chemically removing a portion of the surface from the dielectric field and from between the lines after CMP with a solution comprising HF and H2O. Embodiments include removing up to 50 Å of silicon oxide by treating the wafer in a spray acid processor with a solution containing HF and deionized water at a water to acid ratio of about 100:1 to about 250:1.
    Type: Grant
    Filed: December 7, 1998
    Date of Patent: March 27, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Diana M. Schonauer, Steven C. Avanzino, Kai Yang
  • Patent number: 6204165
    Abstract: A method of fabricating an integrated circuit having air-gaps between interconnect levels. In a preferred embodiment, an integrated circuit is partially fabricated. The partially fabricated integrated circuit includes a top layer, interconnect structures having a cladding layer, dielectric layers and an etch stop layer resistant to certain first types of etchants. The top layer of the integrated circuit is etched with a second type of etchant. The dielectric layers are then etched with one of the first types of etchants until the etch stop layer is reached. Thus, portions of the interconnect structures are exposed to create interconnect islands surrounded by air. A cover is mechanically placed over the exposed interconnect islands to protect the integrated circuit from dust particles.
    Type: Grant
    Filed: June 24, 1999
    Date of Patent: March 20, 2001
    Assignee: International Business Machines Corporation
    Inventor: Uttam Shyamalindu Ghoshal
  • Patent number: 6204195
    Abstract: A process for avoiding dishing in a planarizing layer whose final thickness is reduced by Chem. Mech. Polishing, is described. The first step is to coat the surface to be planarized with a layer of a hard dielectric material, such as silicon nitride, prior to depositing the planarizing medium. After the latter has been reflowed, its thickness is reduced by means of CMP. While CMP is being applied, the etch rate is constantly sensed. When the etch front approaches the aforementioned hard layer a decrease in the etch rate is sensed and etching is terminated, thereby eliminating any dishing effects.
    Type: Grant
    Filed: October 5, 1998
    Date of Patent: March 20, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Rong-Wu Chien, Chia-Hui Wu, Honda Pai
  • Patent number: 6204096
    Abstract: A method for forming wiring structures in integrated circuit devices is disclosed. The method, in one embodiment, firstly providing a substrate is carried out. Then an interlayer dielectric layer is formed over the substrate. Sequentially an etching stop layer is formed and wherein the etching stop layer is patterned. Thus formation of a dielectric layer over the etching stop is achieved. Also photoresist mask is formed and defined. Therefore an opening for a via is initially formed in a second insulative layer above a first insulative layer with an etching stop layer therein. Consequentially removing the photoresist mask and then depositing a first conductive metal layer are all carried out. Again, photoresist mask is formed and defined. The next step is removing excess parts of the conductive metal. Sequentially the step is depositing a second conductive metal layer. Finally the surface of integrated circuit device is planarized herein.
    Type: Grant
    Filed: March 19, 1999
    Date of Patent: March 20, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Yeong-Chih Lai, Chien-Chung Huang, Yu-Tai Tsai, Huang-Hui Wu
  • Patent number: 6204169
    Abstract: A process of polishing two dissimilar conductive materials deposited on semiconductor device substrate optimizes the polishing of each of the conductive material independently, while utilizing the same polishing equipment for manufacturing efficiency. A tungsten layer (258) and a titanium layer (256) of a semiconductor device substrate (250) are polished using one polisher (10) but two different slurry formulations. The two slurries can be dispensed sequentially onto the same polishing platen (132) from two different urce containers (111 and 112), wherein the first slurry is dispensed until e tungsten is removed and then the slurry dispense is switched to second slurry for removal of the titanium. In a preferred embodiment, the first slurry composition is a ferric nitrate slurry while the second slurry composition is an oxalic acid slurry.
    Type: Grant
    Filed: March 24, 1997
    Date of Patent: March 20, 2001
    Assignee: Motorola Inc.
    Inventors: Rajeev Bajaj, Janos Farkas, Sung C. Kim, Jaime Saravia
  • Publication number: 20010000034
    Abstract: The present invention proposes a method for improving the damascene process window for metallization and utilizes an anti-reflective coating to increase the precision of the photolithography process. An inter-layer dielectric and an anti-reflective layer are formed in turn on a semiconductor substrate. The inter-layer dielectric is patterned to form the interconnection line regions. A conductive layer is then deposited on the semiconductor substrate and fills the interconnecting line regions. The chemical mechanical polish is performed to remove a portions of the conductive layer exceeding the interconnect line regions and simultaneously remove residual portion of said anti-reflective layer.
    Type: Application
    Filed: November 30, 2000
    Publication date: March 15, 2001
    Inventors: Meng-Jin Tsai, Yimin Huang
  • Patent number: 6200901
    Abstract: Methods of oxidizing the surface of a photoresist material on a semiconductor substrate to alter the photoresist material surface to be substantially hydrophillic. Oxidation of the photoresist material surface substantially reduces or eliminates stiction between a planarizing pad and the photoresist material surface during chemical mechanical planarization. This oxidation of the photoresist material may be achieved by oxygen plasma etching or ashing, by immersing the semiconductor substrate in a bath containing an oxidizing agent, or by the addition of an oxidizing agent to the chemical slurry used during planarization of the resist material.
    Type: Grant
    Filed: June 10, 1998
    Date of Patent: March 13, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Guy F. Hudson, Michael A. Walker
  • Patent number: 6197690
    Abstract: The formation and/or growth of dendrites emanating from Cu or Cu alloy lines into a bordering open dielectric field are prevented or substantially reduced by chemically removing a portion of the surface from the dielectric field and from between the lines after CMP by double sided scrubbing with a chemical agent. Embodiments include removing portions up to 60 Å of silicon oxide by double sided scrubbing with a solution containing ammonium fluoride, diammonium hydrogen citrate, triammonium citrate, and dionized water, with or without a surfactant.
    Type: Grant
    Filed: December 4, 1998
    Date of Patent: March 6, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Diana M. Schonauer, Steven C. Avanzino, Kai Yang
  • Patent number: 6197678
    Abstract: A damascene process, applicable to a semiconductor substrate, with a patterned first mask layer formed thereon. A part of the substrate not covered by the first mask layer is exposed, while a first dielectric layer is formed on the exposed part of the substrate. The first mask is then removed to form a first opening in the first dielectric layer. A conformal barrier layer is formed on the substrate and the first dielectric layer, followed by filling the first opening with a metal plug. Alternatively, a dual damascene process is disclosed where a second patterned mask layer is formed in first opening and covers a part of the first dielectric layer, while a part of the first dielectric layer is exposed. A second dielectric layer is formed on the exposed part of the first dielectric layer. The second patterned mask layer is removed to form a second opening and to expose the first opening.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: March 6, 2001
    Assignee: United Semiconductor Corp.
    Inventor: Chia-Chieh Yu
  • Patent number: 6197682
    Abstract: The present invention relates to a multilayer wiring structure for a semiconductor device which can be designed without sacrificing either a micronization or electric properties, and a manufacturing method of the same. A first wiring layer and a third wiring layer are connected by a lower layer contact plug which fills a lower layer contact hole interposing a silicon nitride film spacer, and an upper layer contact plug which fills an upper layer contact hole interposing a silicon oxide film spacer. A second wiring layer divided into more than two portions by the upper layer contact hole near an upper end of the lower layer contact hole is connected by a ring-shaped conductive film spacer.
    Type: Grant
    Filed: March 10, 1999
    Date of Patent: March 6, 2001
    Assignee: NEC Corporation
    Inventors: John Mark Drynan, Kuniaki Koyama
  • Patent number: 6194317
    Abstract: This invention pertains to a method of modifying or refining a surface of a wafer suited for semiconductor fabrication. This method may be used to modify a wafer having an unmodified, exposed surface comprised of a layer of a second material deployed over at least one discrete feature of a first material attached to the wafer. A first step of this method comprises contacting and relatively moving the exposed surface of the wafer with respect to an abrasive article, wherein the abrasive article comprises an exposed surface of a plurality of three-dimensional abrasive composites comprising a plurality of abrasive particles fixed and dispersed in a binder and maintaining contact to effect removal of the second material. In a second step, the contact and relative motion are continued until an exposed surface of the wafer has at least one area of exposed first material and at least one area of exposed second material.
    Type: Grant
    Filed: June 24, 1998
    Date of Patent: February 27, 2001
    Assignee: 3M Innovative Properties Company
    Inventors: David A. Kaisaki, Heather K. Kranz, Thomas E. Wood, L. Charles Hardy
  • Patent number: 6194287
    Abstract: A method for forming within a substrate employed within a microelectronics fabrication a planarized trench fill layer employed to fill trenches formed within the substrate, while retaining alignment marks also formed within the substrate, by selectively etching the trench fill layer from alignment mark and from the oxide dielectric-layer regions over the mesas between the trenches formed within the substrate. After the trench fill layer is planarized, an oxide dielectric reverse-tone mask pattern is employed to form the patterned photomask for selective etching of the trench fill layer from the alignment mark and the oxide dielectric-layer mesa regions. The method of the invention affords additional protection of the alignment marks due to the presence of the overlying trench fill layer during planarization of the trench fill layer.
    Type: Grant
    Filed: April 2, 1999
    Date of Patent: February 27, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Syun-Ming Jang
  • Patent number: 6191028
    Abstract: A method of patterning a dielectric layer. On a substrate having a metal wiring layer formed thereon, a dielectric layer and a masking layer are formed. A cap insulation layer is formed on the masking layer before patterning the dielectric layer. In addition, a dual damasecence process is used for patterning the dielectric layer.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: February 20, 2001
    Assignee: United Microelectronics, Corp
    Inventors: Yimin Huang, Tri-Rung Yew
  • Patent number: 6191029
    Abstract: A damascene process is described. An opening is formed in a dielectric layer. The opening is filled with a conductive plug. The conductive plug is etched back to substantially reduce the thickness of the conductive plug in the dielectric layer. A conformal top barrier layer is formed over the conductive plug.
    Type: Grant
    Filed: September 9, 1999
    Date of Patent: February 20, 2001
    Assignees: United Silicon Incorporated, United Microelectronics Corp.
    Inventors: Hsi-Mao Hsiao, Chun-Lung Chen, Shin-Fa Lin
  • Patent number: 6191027
    Abstract: A method of fabricating a semiconductor device include the steps of: providing a substrate having an insulating layer thereon; forming a connection hole including a first sub-hole and a second sub-hole mutually connected in the insulating layer, wherein the first sub-hole has a first diameter and the second sub-hole has a second diameter larger than the first diameter; forming a first conductive layer over the substrate; removing the first conductive to a thickness so as to form a side wall spacer film on a side wall of the second sub-hole and a plug film in the first sub-hole; forming a barrier metal layer over the substrate to cover the side wall spacer and the plug film; forming a second conductive layer over the substrate to fill space in the connection hole; and chemical mechanical polishing the second conductive layer.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: February 20, 2001
    Assignee: Yamaha Corporation
    Inventor: Masayoshi Omura
  • Patent number: 6191025
    Abstract: A method of fabricating a damascene structure for copper conductors. Layers of first, second, and third dielectric are formed on a silicon substrate having devices formed therein. The second dielectric will subsequently act as an etch stop. The third dielectric is a sacrificial layer used to protect the second dielectric. Contact holes are then etched in the layers of first, second, and third dielectric. A first barrier metal and a first conductor metal are then deposited filling the contact hole. The first barrier metal and first conductor metal are then removed down to a level between the original top surface of the layer of third dielectric and the top surface of the second dielectric using a method such as chemical mechanical polishing. The sacrificial third dielectric protects the layer of second dielectric during the chemical mechanical polishing. A layer of fourth dielectric is then deposited.
    Type: Grant
    Filed: July 8, 1999
    Date of Patent: February 20, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 6187666
    Abstract: The present invention relates to a method for fabricating interconnecting lines and vias in a layer of insulating material. A via is formed in the layer of insulating material. A protective material is formed so as to be conformal to at least edges and sidewalls of the via, the protective material facilitating shielding of at least the edges and sidewalls of the via from a trench etch step. The trench etch step is performed to form a trench opening in the insulating material. The via and trench are filled with a conductive metal.
    Type: Grant
    Filed: June 8, 1999
    Date of Patent: February 13, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bhanwar Singh, Michael K. Templeton, Bharath Rangarajan, Christopher F. Lyons, Sanjay K. Yedur, Ramkumar Subramanian
  • Patent number: 6184124
    Abstract: A method of preparing a multilevel embedded wiring system for an IC comprising a first wiring formation step, a first connecting portion formation step, and a second wiring formation step, wherein the first wiring formation step comprises forming a first trench for a first embedded wiring in a first insulating layer disposed on a substrate and embedding in the first trench, in turn, a first conductive layer and a first conductive capping layer; the first connecting portion formation step comprises forming a second insulating layer on the first insulating layer and the first conductive capping layer, forming a via-hole in a part of the second insulating layer at the first conductive capping layer, and embedding a conductive connecting portion in the via-hole and connected to the first conductive layer; and the second formation step comprises forming a third insulating layer on the second insulating layer and the conductive connecting portion, forming a second trench for a second wiring in the third insulating
    Type: Grant
    Filed: May 14, 1998
    Date of Patent: February 6, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Makiko Hasegawa, Yoshihiko Toyoda, Takeshi Mori, Tetsuo Fukada
  • Patent number: 6184138
    Abstract: A new method is provided to construct a copper dual damascene structure. A layer of IMD is deposited over the surface of a substrate. A cap layer is deposited over this layer of IMD, the dual damascene structure is then patterned through the cap layer and into the layer of IMD. A barrier layer is blanket deposited, a copper seed layer is deposited over the barrier layer. The dual damascene structure is then filled with a spin-on material. The barrier layer and the copper seed layer are removed above the cap layer; the cap layer can be partially removed or can be left in place. The spin on material remains in place in the via and trench opening during the operation of removing the copper seed layer and the barrier layer from above the cap surface thereby protecting the inside surfaces of these openings. The spin-on material is next removed from the dual damascene structure and copper is deposited.
    Type: Grant
    Filed: September 7, 1999
    Date of Patent: February 6, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Paul Kwok Keung Ho, Mei Sheng Zhou, Subhash Gupta
  • Patent number: 6184143
    Abstract: In a semiconductor integrated circuit wherein an interlayer insulating film is formed over a semiconductor substrate having a semiconductor device formed thereover; and an interconnection embedded in an interconnection groove in the interlayer insulating film is formed by the deposition of a metal film such as copper and polishing by the CMP method, another interlayer insulating film over the interconnection and interlayer insulating film is formed to have a blocking film, a planarizing film and an insulating film. As the planarizing film, a film having fluidity such as SOG is employed.
    Type: Grant
    Filed: July 28, 1998
    Date of Patent: February 6, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Naofumi Ohashi, Hizuru Yamaguchi, Junji Noguchi, Nobuo Owada
  • Patent number: 6184128
    Abstract: In one embodiment, the present invention relates to a dual damascene method involving the steps of providing a substrate having a first low k material layer; forming a first hard mask layer over the first low k material layer; patterning a first opening having a first width in the first hard mask layer using a first photoresist thereby exposing a portion of the first low k material layer; removing the first photoresist; depositing a second low k material layer over the patterned first hard mask layer and the exposed portion of the first low k material layer; forming a second hard mask layer over the second low k material layer; patterning a second opening having a width larger than the first width in the second hard mask layer using a second photoresist thereby exposing a portion of the second low k material layer; anisotropically etching the exposed portions of the first and second low k material layers; and removing the second photoresist, wherein and at least one of the first photoresist and the second pho
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: February 6, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Fei Wang, Christopher F. Lyons, Khanh B. Nguyen, Scott A. Bell, Harry J. Levinson, Chih Yuh Yang
  • Patent number: 6180506
    Abstract: A multi-film damascene metal interconnect line for a semiconductor device and the method for manufacturing the interconnect line. The interconnect line has a redundant layer film included within the top surface of the interconnect line which reduces stress voiding and electromigration. The interconnect line is produced by depositing a redundant film part-way through the deposition of the bulk metal film and does not require additional polishing steps.
    Type: Grant
    Filed: September 14, 1998
    Date of Patent: January 30, 2001
    Assignee: International Business Machines Corporation
    Inventor: Timothy D. Sullivan
  • Patent number: 6180509
    Abstract: A method is provided for forming planar multilevel metallization of a semiconductor integrated circuit, and an integrated circuit formed according to the same. Multilevel metallization is achieved through a planar process at each layer to allow for minimum widths of lines and vias and minimal lateral spacing between lines. Conductive lines and contacts are formed before planarization to further achieve good step coverage. A first metallization layer is formed by depositing aluminum over the integrated circuit, patterning and etching to form metal interconnect lines. Regions of planar insulating material are then formed between the metal lines. Another layer of aluminum is deposited and etched to form metal vias over selected portions of the metal lines. This layer of aluminum is patterned with a reverse pattern of that used to pattern the metal lines. Again, regions of planar insulating material are formed between the metal vias.
    Type: Grant
    Filed: November 25, 1997
    Date of Patent: January 30, 2001
    Assignee: STMicroelectronics, Inc.
    Inventors: Kuei-Wu Huang, Tsiu C. Chan, Jamin Ling
  • Patent number: 6180514
    Abstract: A method for forming inter-metal dielectric is disclosed. The method normally includes the following steps. First of all, a semiconductor wafer is provided. Then, forming a first metal layer on a portion of the substrate is carried out. A first dielectric layer is formed on the first metal layer and the substrate. Consequentially a tantalum nitride layer is formed on the first dielectric layer. A first photoresist layer can be formed on the tantalum nitride layer, especially first photoresist layer has a first pattern defining a trench area located over the first metal layer, and has a second pattern defining an etch stop area. The tantalum nitride layer will be etched by the first photoresist layer. A second dielectric layer is formed over the etched tantalum nitride layer and the first dielectric layer.
    Type: Grant
    Filed: November 12, 1999
    Date of Patent: January 30, 2001
    Inventors: Wen-Kuan Yeh, Wen-Jeng Lin
  • Patent number: 6177360
    Abstract: The invention relates to a process for making an integrated circuit device comprising (i) a substrate, (ii) metallic circuit lines positioned on the substrate, and (iii) a dielectric material positioned on the circuit lines. The dielectric material comprises the condensation product of silsesquioxane in the presence of a photosensitive or thermally sensitive base generator.
    Type: Grant
    Filed: November 6, 1997
    Date of Patent: January 23, 2001
    Assignee: International Business Machines Corporation
    Inventors: Kenneth Raymond Carter, Robert Frances Cook, Martha Alyne Harbison, Craig Jon Hawker, James Lupton Hedrick, Victor Yee-Way Lee, Eric Gerhard Liniger, Robert Dennis Miller, Willi Volksen, Do Yeung Yoon
  • Patent number: 6177342
    Abstract: An multi-level interconnection uses a glue layer material as a via plug or contact plug. An method of forming the multi-level interconnection includes: forming a first opening and a wider second opening in a dielectric layer, whereas the first opening exposes the conductive layer and the second opening is above the first opening; and filling the first opening with titanium, titanium nitride or tungsten nitride.
    Type: Grant
    Filed: May 8, 1998
    Date of Patent: January 23, 2001
    Assignee: United Microelectronics Corp
    Inventors: Tzung-Han Lee, Li-Chieh Chao
  • Patent number: 6177338
    Abstract: A process for forming a tungsten plug structure, in a narrow diameter contact hole, has been developed. The process features the use of a composite layer, comprised on an underlying titanium layer, and an overlying, first titanium nitride barrier layer, on the walls, and at the bottom, of the narrow diameter contact hole. After an RTA procedure, used to create a titanium silicide layer, at the bottom of the narrow diameter contact hole, a second titanium nitride layer is deposited, to fill possible defects in the underlying first titanium nitride, that may have been created during the RTA procedure. The tungsten plug structure is then formed, embedded by dual titanium nitride barrier layers.
    Type: Grant
    Filed: February 8, 1999
    Date of Patent: January 23, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jhon-Jhy Liaw, Ching-Yau Yang
  • Patent number: 6174777
    Abstract: This invention provides a method for forming a self aligned contact using a reverse self aligned contact etch process. A substrate structure is provided having conductive structures thereon. The conductive structures can be any of a number of structures including, but not limited to: floating gate transistors, capacitors, word lines, or a combination thereof. The substrate structure also has doped regions thereon adjacent to one or both sides of the conductive structures. A polysilicon layer is formed over the conductive structures and the doped regions. A photoresist mask is formed over the polysilicon layer having openings over the conductive structures. The polysilicon layer is etched through the openings in the photoresist mask and stopping on the hard masks to form self aligned contacts over the doped regions. A dielectric layer is formed over the self aligned contacts and the conductive structures. The dielectric layer and the self aligned contacts are planarized.
    Type: Grant
    Filed: August 26, 1999
    Date of Patent: January 16, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Dowson Jang
  • Patent number: 6174804
    Abstract: A dual damascene process for forming interconnects such as contact plugs or vias. A first metal line is formed on a substrate structure. A first metal line is formed on the substrate structure. At least a stud is formed to cover a part of the first metal line. An insulation layer is formed to cover the substrate structure, the first metal line and the stud. A part of the insulation layer is removed to expose the stud. The expose stud is removed to form a contact window to expose the part of the first metal line. A metal layer is formed to fill the contact window.
    Type: Grant
    Filed: September 24, 1998
    Date of Patent: January 16, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Chen-Chung Hsu
  • Patent number: 6171949
    Abstract: A method for manufacturing an integrated circuit using damascene processes is provided in which conductive material surfaces subject to chemical-mechanical polishing are passivated after polishing with a dry, low energy, ion implantation passivating process to prevent oxidation and to eliminate a high dielectric constant protective layer. In particular, copper conductive material is subject to nitrogen implantation at or below 100 KeV to produce a protective copper nitride.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: January 9, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Shekhar Pramanick
  • Patent number: 6165893
    Abstract: The present invention relates to insulating layers and a forming method thereof, more particularly, to planarized insulating layers among wires on the same insulating layer or different layers and a forming method thereof which minimize parasitic capacitance generated from the wires, prevent via poison and reduce step difference between the adjacent layers by forming a dielectric layer having a low dielectric constant between the wires patterned in the same layer and by forming an insulating interlayer having excellent heat-dissipation efficiency and interface-adhesiveness between the wires in the different layer.
    Type: Grant
    Filed: May 25, 1999
    Date of Patent: December 26, 2000
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Seog-Chul Chung
  • Patent number: 6165895
    Abstract: A method of fabricating an interconnect is described in which a conductive layer, an anti-reflection layer and a cover layer are sequentially formed on the substrate to form a conductive plug with its bottom situated in the anti-reflection layer. The cover layer and a portion of the anti-reflection layer and the conductive layer are remove to form an opening exposing the substrate and to define the conductive lining structures. A conformal polysilicon oxide layer is formed on the substrate and a first dielectric layer is also formed, filling the opening. A conformal isolation layer is then formed on the substrate, followed by forming a second dielectric layer covering the entire substrate. A planarization procedure is further conducted to expose the conductive plug.
    Type: Grant
    Filed: June 28, 1999
    Date of Patent: December 26, 2000
    Assignees: United Semiconductor Corp., United Microelectronics Corp.
    Inventor: Jy-Hwang Lin
  • Patent number: 6162728
    Abstract: A method for forming copper interconnect lines using a damascene process. After the formation of the copper seed layer (112) and prior to the formation of the copper layer (120), a pattern (114) is formed to block the formation of the copper in non-interconnect areas. The copper layer (120) is then formed and the pattern (114) is removed. The exposed seed layer (112) and any barrier layers (110) thereunder are removed.
    Type: Grant
    Filed: September 27, 1999
    Date of Patent: December 19, 2000
    Assignee: Texas Instruments Incorporated
    Inventors: Alwin J. Tsao, Paul M. Gillespie
  • Patent number: 6159843
    Abstract: A method of fabricating a landing pad. A gate electrode is formed on a substrate. The gate electrode has a top surface covered by a cap layer and a sidewall covered by a spacer. A polysilicon layer is formed to cover the gate. Using an oxygen based etchant to performed an isotropic chemical dry etching on the polysilicon layer, the polysilicon layer is planarized until a part of the spacer is exposed. The polysilicon layer is patterned to form a landing pad in contact with the substrate.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: December 12, 2000
    Assignee: Worldwide Semiconductor Manufacturing Corp.
    Inventor: Chingfu Lin
  • Patent number: 6160314
    Abstract: A polishing stop structure has a polishing stop layer formed in the dielectric layer. When a chemical mechanical polishing is performed on a bumpy surface of this structure, the lower regions of the surface are first to expose the polishing stop layer, is not easily removed. While polishing stops at the lower regions, the higher regions continue to be polished. The structure can control the polishing level to increase the window of over-etching and attain a smoother surface.
    Type: Grant
    Filed: May 5, 1998
    Date of Patent: December 12, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Tzung-Han Lee, Li-Chieh Chao
  • Patent number: 6156631
    Abstract: In a patterning of a gate electrode by an optical lithography, a narrowing of a pattern and a change in sizes are prevented at a step of a polycrystalline silicon. A silicon nitride 17 is formed, as an impact-absorbing film, on a polycrystalline silicon 16 to be the gate electrode. The silicon nitride 17 is leveled by a chemical mechanical polishing method. A resist 18 is then applied. The optical lithography is performed. The resist 18 is used as a mask so that the polycrystalline silicon 16 is anisotropic etched to form a gate electrode.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: December 5, 2000
    Assignee: NEC Corporation
    Inventor: Makoto Sasaki
  • Patent number: 6156651
    Abstract: This is a method of forming mechanically robust vias and entrenched conductors on a dielectric layer (which dielectric layer is on an electronic microcircuit substrate which vias and entrenched conductors are electrically connected to a conductive area on the surface of the substrate) and a structure formed thereby. Generally some of the dielectric layers added above the microcircuit comprise a porous dielectric having a desirable low dielectric constant but low mechanical robustness.
    Type: Grant
    Filed: December 10, 1997
    Date of Patent: December 5, 2000
    Assignee: Texas Instruments Incorporated
    Inventor: Robert H. Havemann
  • Patent number: 6153525
    Abstract: A process for the formation and planarization of polymeric dielectric films on semiconductor substrates and for achieving high chemical mechanical polish removal rates when planarizing these films. A cured, globally planarized, polymeric dielectric thin film is produced on a semiconductor substrate by (a) depositing a polymeric, dielectric film composition onto a surface of a semiconductor substrate; (b) partially curing the deposited film; (c) performing a chemical mechanical polishing step to said partially cured dielectric film, until said dielectric film is substantially planarized; and (d) subjecting the polished film to an additional curing step. Preferred dielectric films are polyarylene ether and/or fluorinated polyarylene ether polymers which are deposited by a spin coating process onto a semiconductor substrate. A thermal treatment partially cures the polymer. A chemical mechanical polishing step achieves global planarization. Another thermal treatment accomplishes a final cure of the polymer.
    Type: Grant
    Filed: February 13, 1998
    Date of Patent: November 28, 2000
    Assignee: AlliedSignal Inc.
    Inventors: Neil H. Hendricks, Daniel L. Towery