Plural Layered Electrode Or Conductor Patents (Class 438/652)
  • Publication number: 20110027944
    Abstract: A method of forming electrical connections to a semiconductor wafer. A semiconductor wafer comprising an insulation layer is provided. The insulation layer has a surface. A patterned mask layer is formed over the surface of the insulation layer. The patterned mask layer exposes portions of the surface of the insulation layer through a plurality of holes. The portions of the plurality of holes are filled with a metal material comprising copper to form elongated columns of the metal material. The elongated columns of the metal material have a sidewall surface. The patterned mask layer is removed to expose the sidewall surface of the elongated columns of the metal material. A protection layer is formed on the exposed sidewall surface of the elongated columns of the metal material.
    Type: Application
    Filed: April 27, 2010
    Publication date: February 3, 2011
    Applicant: TAIWAN SEMICONDUCTOR MAUFACTURING COMPANY, LTD.
    Inventors: Chung-Shi LIU, Shin-Puu JENG, Mirng-Ji LII, Chen-Hua YU
  • Publication number: 20110027987
    Abstract: A method for manufacturing a semiconductor device includes forming a laminated structure of a plurality of metal films on a semiconductor substrate using an electroless plating method. The forming of the metal films includes: performing an electroless plating process including a reduction reaction using a first plating tank; and performing an electroless plating process by only a substitution reaction using a second plating tank. The electroless plating process including the reduction reaction that is performed using the first plating tank is performed in a shading environment, and the electroless plating process performed by only the substitution reaction using the second plating tank is performed in a non-shading environment.
    Type: Application
    Filed: June 9, 2010
    Publication date: February 3, 2011
    Applicant: NEC Electronics Corporation
    Inventors: Nobuaki Takahashi, Masahiro Komuro
  • Publication number: 20110018109
    Abstract: According to an exemplary embodiment, a semiconductor die including at least one deep silicon via is provided. The deep silicon via comprises a deep silicon via opening that extends through at least one pre-metal dielectric layer of the semiconductor die, at least one epitaxial layer of the semiconductor die, and partially into a conductive substrate of the semiconductor die. The deep silicon via further comprises a conductive plug situated in the deep silicon via opening and forming an electrical contact with the conductive substrate. The deep silicon via may include a sidewall dielectric layer and a bottom conductive layer. A method for making a deep silicon via is also disclosed. The deep silicon via is used to, for example, provide a ground connection for power transistors in the semiconductor die.
    Type: Application
    Filed: May 20, 2010
    Publication date: January 27, 2011
    Applicant: NEWPORT FAB, LLC DBA JAZZ SEMICONDUCTOR
    Inventors: Volker Blaschke, Todd Thibeault, Chris Cureton, Paul Hurwitz, Arjun Kar-Roy, David Howard, Marco Racanelli
  • Patent number: 7875492
    Abstract: An integrated circuit includes transistors in rows and columns providing an array, conductive lines in columns across the array, and resistivity changing material elements contacting the conductive lines and self-aligned to the conductive lines. The integrated circuit includes electrodes contacting the resistivity changing material elements, each electrode self-aligned to a conductive line and coupled to one side of a source-drain path of a transistor.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: January 25, 2011
    Assignee: Qimonda AG
    Inventors: Ulrike Gruening-von Schwerin, Thomas Happ
  • Publication number: 20110014787
    Abstract: The present invention is generally directed to a method of forming contacts for a memory device. In one illustrative embodiment, the method includes forming a layer of insulating material above an active area of a dual bit memory cell, forming a hard mask layer above the layer of insulating material, the hard mask layer having an original thickness, performing at least two partial etching processes on the hard mask layer to thereby define a patterned hard mask layer above the layer of insulating material, wherein each of the partial etching processes is designed to etch through less than the original thickness of the hard mask layer, the hard mask layer having openings formed therein that correspond to a digitline contact and a plurality of storage node contacts for the dual bit memory cell, and performing at least one etching process to form openings in the layer of insulating material for the digitline contact and the plurality of storage node contacts using the patterned hard mask layer as an etch mask.
    Type: Application
    Filed: September 28, 2010
    Publication date: January 20, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Jonathan Doebler
  • Publication number: 20110008958
    Abstract: The invention includes methods of electroless plating of nickel selectively on exposed conductive surfaces relative to exposed insulative surfaces. The electroless plating can utilize a bath which contains triethanolamine, maleic anhydride and at least one nickel salt.
    Type: Application
    Filed: September 20, 2010
    Publication date: January 13, 2011
    Inventor: Chandra Tiwari
  • Publication number: 20110008959
    Abstract: A method for anisotropically plasma etching a semiconductor wafer is disclosed. The method comprises supporting a wafer in an environment operative to form a plasma, such as a plasma reactor, and providing an etching mixture to the environment. The etching mixture comprises at least one etch component, at least one passivation component, and at least one passivation material removal component.
    Type: Application
    Filed: February 13, 2009
    Publication date: January 13, 2011
    Applicant: Radiation Watch Limited
    Inventor: Russell Morgan
  • Patent number: 7867911
    Abstract: A method for forming a pattern in a semiconductor device includes forming an etch target layer, forming a hard mask over the etch target layer, the hard mask including a multiple-layer stack structure comprising a bottom layer, a transformed layer, and an upper layer, wherein the transformed layer is formed by transforming a surface of the bottom layer. The hard mask and the etch target layer are etched.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 11, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki-Won Nam, Ky-Hyun Han
  • Patent number: 7867897
    Abstract: An interconnect structure which includes a metal-containing cap located atop each conductive feature that is present within a dielectric material is provided in which a surface region of the metal-containing cap is oxidized prior to the subsequent deposition of any other dielectric material thereon. Moreover, metal particles that are located on the surface of the dielectric material between the conductive features are also oxidized at the same time as the surface region of the metal-containing cap. This provides a structure having a reduced leakage current. In accordance with the present invention, the oxidation step is performed after electroless plating of the metal-containing cap and prior to the deposition of a dielectric capping layer or an overlying interlayer or intralevel dielectric material.
    Type: Grant
    Filed: October 5, 2009
    Date of Patent: January 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Jason P. Gill, Sean Smith, Jean E. Wynne
  • Patent number: 7858518
    Abstract: A process for the in situ formation of a selective contact and a local interconnect on a semiconductor substrate. The exposed semiconductor substrate regions of a semiconductor device structure may be treated in a plasma to enhance the adhesiveness of a selective contact thereto. The semiconductor device structure is positioned within a reaction chamber, wherein a selective contact is deposited onto the exposed semiconductor substrate regions. Any residual selective contact material may be removed from oxide surfaces either intermediately or after selective contact deposition. While the semiconductor device remains in the reaction chamber, a local interconnect is deposited over the semiconductor device structure. The local interconnect may then be patterned. Subsequent layers may be deposited over the local interconnect. The present invention also includes semiconductor device structures formed by the inventive process.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: December 28, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Christopher W. Hill, Weimin Li, Gurtej S. Sandhu
  • Publication number: 20100323515
    Abstract: Disclosed is a method for making semiconductor electrodes. In the method, there is provided a wafer. The wafer includes at least one conductive unit, a plurality of first connective units connected to the conductive unit, a plurality of first metal layers connected to the first connective units and a plurality of second connective units connected to the first metal layers. Photo-resist is provided on the first and second connective units. A second metal layer is provided on each of the first metal layers via using an electroplating device. The wafer is cut from the photo-resist, thus forming semiconductor electrodes.
    Type: Application
    Filed: April 23, 2008
    Publication date: December 23, 2010
    Applicant: ATOMIC ENERGY COUNCIL- INSTITUTE OF NUCLEAR ENERGY RESEARCH
    Inventors: Wu Chih-Hung, Liu Keng-Shen, Chang Chun-Ling, Chen Ying-Ru
  • Patent number: 7855144
    Abstract: Provided is a method of forming conductors (e.g., metal lines and/or bumps) for semiconductor devices and conductors formed from the same. First and second seed metal layers may be formed. At least one mask may be formed on a portion on which a conductor is to be formed. An exposed portion may be oxidized. The oxidized portion may be removed. A conductive structure may be formed on an upper surface of a portion which is not oxidized. The conductors may be metal lines and/or bumps. The conductive structures may be solder balls.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: December 21, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soon-bum Kim, Sung-min Sim, Dong-hyeon Jang, Jae-sik Chung, Se-yong Oh
  • Publication number: 20100314768
    Abstract: An interconnect structure within a microelectronic structure and a method for fabricating the interconnect structure within the microelectronic structure use a developable bottom anti-reflective coating layer and at least one imageable inter-level dielectric layer located thereupon over a substrate that includes a base dielectric layer and a first conductor layer located and formed embedded within the base dielectric layer. Incident to use of the developable bottom anti-reflective coating layer and the at least one imageable inter-level dielectric layer, an aperture, such as but not limited to a dual damascene aperture, may be formed through the at least one imageable inter-level dielectric layer and the developable anti-reflective coating layer to expose a capping layer located and formed upon the first conductor layer, absent use of a dry plasma etch method when forming the interconnect structure within the microelectronic structure.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 16, 2010
    Applicant: International Business Machines Corporation
    Inventors: Maxime Darnon, Jeffrey P. Gambino, Elbert E. Huang, Qinghuang Lin
  • Patent number: 7851289
    Abstract: A method for forming a Field Effect Transistor (FET) within a strain effect semiconductor layer is disclosed, whereby the source and drain of the FET are formed only in the strain effect silicon layer. The FET may be formed as a gate electrode of a p-channel type field effect transistor, and a gate electrode of a n-channel type field effect transistor on the silicon layer which has the strain effect through a gate insulating film. The sources and drains of p- and n-type diffusion layers are then formed in the silicon layer having the strain effect, on both sides of the gate electrode.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: December 14, 2010
    Assignee: Sony Corporation
    Inventors: Takashi Noguchi, Mitsuo Soneda
  • Publication number: 20100311191
    Abstract: A metallic electrode forming method includes: forming a bed electrode on a substrate; forming a protective film with an opening on the bed electrode to expose the bed electrode from the opening; forming a metallic film covering the protective film and the opening; mounting the substrate on an adsorption stage, and measuring a surface shape of the metallic film by a surface shape measuring means; deforming the substrate by a deforming means so that a difference between the principal surface and a cutting surface is within a predetermined range; measuring a surface shape of the principal surface, and determining whether the difference is within a predetermined range; and cutting the substrate along with the cutting surface so that the metallic film is patterned to be a metallic electrode.
    Type: Application
    Filed: August 5, 2010
    Publication date: December 9, 2010
    Applicant: DENSO CORPORATION
    Inventors: Manabu Tomisaka, Hisatoshi Kojima, Akihiro Niimi
  • Publication number: 20100295018
    Abstract: A nanostructure includes a highly conductive microcrystalline layer, a bipolar nanowire, and another layer (18, 30). The highly conductive microcrystalline layer includes a microcrystalline material and a metal. The bipolar nanowire has one end attached to the highly conductive microcrystalline layer and another end attached to the other layer.
    Type: Application
    Filed: January 30, 2008
    Publication date: November 25, 2010
    Inventors: Shih-Yuan Wang, Michael Renne Ty Tan
  • Publication number: 20100297824
    Abstract: A memory cell device includes a memory cell access layer, a dielectric material over the memory cell access layer, a memory material structure within the dielectric material, and a top electrode in electrical contact with the memory material structure. The memory material structure has upper and lower memory material portions and a memory material element therebetween. The lower memory material layer is in electrical contact with a bottom electrode. The lower memory material layer has an average lateral dimension. The memory material element defines an electrical property state change region therein and has a minimum lateral dimension which is substantially less than the average lateral dimension. In some examples the memory material element is a tapered structure with the electrical property state change region at the junction of the memory material element and the lower memory material layer.
    Type: Application
    Filed: August 9, 2010
    Publication date: November 25, 2010
    Applicant: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 7829427
    Abstract: A method of forming an inductor. The method including: (a) forming a dielectric layer on a top surface of a substrate; after (a), (b) forming a lower trench in the dielectric layer; after (b), (c) forming a resist layer on a top surface of the dielectric layer; after (c), (d) forming an upper trench in the resist layer, the upper trench aligned to the lower trench, a bottom of the upper trench open to the lower trench; and after (d), (e) completely filling the lower trench and at least partially filling the upper trench with a conductor in order to form the inductor.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: November 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Panayotis C. Andricacos, John M. Cotte, Hariklia Deligianni, John H. Magerlein, Kevin S. Petrarca, Kenneth J. Stein, Richard P. Volant
  • Patent number: 7825398
    Abstract: Memory cells are described along with methods for manufacturing. A memory cell described herein includes a bottom electrode comprising a base portion and a pillar portion on the base portion, the pillar portion and the base portion having respective outer surfaces and the pillar portion having a width less than that of the base portion. A memory element is on a top surface of the pillar portion of the bottom electrode, and a top electrode is on the memory element. A dielectric spacer contacts the outer surface of the pillar portion, the outer surface of the base portion of the bottom electrode self-aligned with an outer surface of the dielectric spacer.
    Type: Grant
    Filed: April 7, 2008
    Date of Patent: November 2, 2010
    Assignees: Macronix International Co., Ltd., Qimonda A.G.
    Inventors: Thomas D. Happ, Yi-Chou Chen, Jan Boris Philipp, Hsiang-Lan Lung
  • Patent number: 7825514
    Abstract: A substrate for a semiconductor device includes: a base plate, a plurality of external terminal portions respectively arranged in a plane on the base plate and having external terminal faces respectively facing the base plate; a plurality of internal terminal portions, respectively arranged in the plane on the base plate and having internal terminal faces respectively facing an opposite side to the base plate. The internal terminal portions are connected with the external terminal portions, via wiring portions, respectively. A part of the external terminal portions are located on the base plate in a predetermined arrangement area in which a semiconductor element is arranged.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: November 2, 2010
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Chikao Ikenaga, Shozo Ishikawa
  • Patent number: 7811932
    Abstract: A die-on-die assembly has a first die (10) and a second die (50). The first die (10) has a first contact extension (28,42) and a peg (32,44,45) extending a first height above the first die. The second die (50) has a second contact extension (68) connected to the first contact extension and has a containing feature (62) extending a second height above the second die surrounding the peg. The peg extends past the containing feature. Because the peg extends past the containing feature, lateral movement between the first and second die can cause the peg to come in contact with and be constrained by the containing feature. The peg and containing feature are thus useful in constraining movement between the first and second die.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: October 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Scott K. Pozder, Ritwik Chatterjee
  • Patent number: 7812451
    Abstract: A semiconductor device includes a first wiring layer, a second wiring layer and a third wiring layer. The first wiring layer is formed on a semiconductor substrate. The second and the third wiring layer wiring layers are arranged in a direction intersecting with the first wiring layer on respective sides of the wiring layer. An air bridge wiring intersects the second and third wiring layers sandwiching an air layer above the first wiring layer therewith. The overall shape of the air bridge wiring has an upward convex curvature in an arch shape and the transverse sectional shape of the air bridge wiring is in the form of a downward concave curvature.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: October 12, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takashi Asano
  • Publication number: 20100255676
    Abstract: Provided are methods of manufacturing semiconductor devices. The methods may include forming a first insulation layer on a semiconductor substrate, forming a groove by selectively etching the first insulation layer, filling the groove with a copper-based conductive layer, depositing a cobalt-based capping layer on the copper-based conductive layer by electroless plating, and cleansing the first insulation layer and the cobalt-based capping layer using a basic cleansing solution.
    Type: Application
    Filed: April 2, 2010
    Publication date: October 7, 2010
    Inventors: Youngseok Kim, Jong-ho Yun, Kwang-jin Moon, Gil-heyun Choi, Jong-myeong Lee, Zung-sun Choi, Hye-Kyung Jung
  • Publication number: 20100244199
    Abstract: A semiconductor device includes a first moisture-resistant ring disposed in a peripheral region surrounding a circuit region on a semiconductor substrate in such a way as to surround the circuit region and a second moisture-resistant ring disposed in the peripheral region in such a way as to surround the first moisture-resistant ring.
    Type: Application
    Filed: March 29, 2010
    Publication date: September 30, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Jun Sakuma, Hideaki Matsumura, Tadashi Ohshima
  • Patent number: 7803657
    Abstract: In methods of manufacturing a variable resistance structure and a phase-change memory device, after forming a first insulation layer on a substrate having a contact region, a contact hole exposing the contact region is formed through the first insulation layer. After forming a first conductive layer on the first insulation layer to fill up the contact hole, a first protection layer pattern is formed on the first conductive layer. The first conductive layer is partially etched to form a contact and to form a pad on the contact. A second protection layer is formed on the first protection layer pattern, and then an opening exposing the pad is formed through the second protection layer and the first protection layer pattern. After formation of a first electrode, a phase-change material layer pattern and a second electrode are formed on the first electrode and the second protection layer.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: September 28, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Suk-Hun Choi, Chang-Ki Hong, Yoon-Ho Son, Jang-Eun Heo
  • Publication number: 20100240213
    Abstract: A method of manufacturing a semiconductor device on a semiconductor substrate, includes the steps of forming a first metal film on a front surface of the semiconductor substrate; forming a second metal film on the surface of the first metal film; activating a surface of the second metal film to provide an activated surface; and forming a plated film on the activated surface by a wet plating method in a plating bath that includes a reducing agent that is oxidized during plating and that has a rate of oxidation, wherein the second metal film is a metal film mainly composed of a first substance that enhances the rate of oxidation of the reducing agent in the plating bath. Wet plating is preferably an electroless process.
    Type: Application
    Filed: March 22, 2010
    Publication date: September 23, 2010
    Applicant: FUJI ELECTRIC SYSTEMS CO., LTD.
    Inventors: Yuichi Urano, Takayasu Horasawa
  • Publication number: 20100240174
    Abstract: Disclosed are via, a method for formation of via using zinc and zinc alloys, and a process for fabrication of three-dimensional multiple chip stack packages by using the same. In lamination of three-dimensional chips, the chips with reduced defects are rapidly formed by the steps of: punching each of the chips to form a via hole used for a circuit wiring between the chips; depositing a seed layer on an inside of the via hole; forming a plated layer inside the via hole by using Zn and Zn alloys through an electroplating process; removing oxide film from surface of the plated layer; and heat treating the via hole at a temperature of more than melting point of the Zn and Zn alloys. Particularly, the chip having Zn via formed according to the present invention has an advantage of simultaneously overcoming problems in establishment of processing parameters caused by Cu via (e.g., plating mode, current density, influence of additives, pore formation, etc.
    Type: Application
    Filed: December 4, 2007
    Publication date: September 23, 2010
    Inventors: Jin Yu, Young-Kun Jee
  • Publication number: 20100237501
    Abstract: A method for manufacturing a semiconductor device includes forming an insulating film including silicon, oxygen, carbon and hydrogen above a semiconductor substrate, forming a wiring trench in the insulating film, forming a metal film to be a metal wiring on the insulating film such that the metal film is provided in the wiring trench, forming the metal wiring by removing the metal film outside the wiring trench, performing a hydrophobic treatment to the surface of the insulating film after the forming the metal wiring, and forming a metal cap selectively on an upper surface of the metal wiring by plating after the performing the hydrophobic treatment.
    Type: Application
    Filed: March 18, 2010
    Publication date: September 23, 2010
    Inventors: Hideyuki Tomizawa, Noriaki Matsunaga, Tadayoshi Watanabe, Shiro Mishima, Masako Kodera
  • Patent number: 7799630
    Abstract: A method for manufacturing a CMOS device having dual metal gate includes providing a substrate having at least two transistors of different conductive types and a dielectric layer covering the two transistors, planarizing the dielectric layer to expose gate conductive layers of the two transistors, forming a patterned blocking layer exposing one of the conductive type transistor, performing a first etching process to remove a portion of a gate of the conductive type transistor, reforming a metal gate, removing the patterned blocking layer, performing a second etching process to remove a portion of a gate of the other conductive type transistor, and reforming a metal gate.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: September 21, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Hao Yu, Li-Wei Cheng, Tian-Fu Chiang, Cheng-Hsien Chou, Chien-Ting Lin, Che-Hua Hsu, Guang-Hwa Ma
  • Publication number: 20100233876
    Abstract: In a film forming method, a substrate is first loaded into a vacuum-evacuable processing chamber. At least a transition metal-containing source gas and a reduction gas are supplied into the processing chamber, and the substrate is heated. Then, a thin film is formed in a recess in the surface of the substrate by heat treatment. Accordingly, the surface recess of the substrate can be filled with a copper film.
    Type: Application
    Filed: June 8, 2007
    Publication date: September 16, 2010
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Kenji Matsumoto, Junichi Koike, Koji Neishi
  • Patent number: 7795128
    Abstract: There are included a semiconductor substrate provided with a desirable element region, an electrode pad formed to come in contact with a surface of the semiconductor substrate or a wiring layer provided on the surface of the semiconductor substrate, a bump formed on a surface of the electrode pad through an intermediate layer, and a resin insulating film formed in at least a peripheral portion of the bump to cover an interface of the bump and the intermediate layer which is exposed to a side surface of the bump.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: September 14, 2010
    Assignee: Rohm Co., Ltd.
    Inventor: Goro Nakatani
  • Patent number: 7790611
    Abstract: A method for forming a conductive structure of sub-lithographic dimension suitable for FEOL and BEOL semiconductor fabrication applications. The method includes forming a topographic feature of silicon-containing material on a substrate; forming a dielectric cap on the topographic feature; applying a mask structure to expose a pattern on a sidewall of the topographic feature, the exposed pattern corresponding to a conductive structure to be formed; depositing a metal at the exposed portions of the sidewall and forming one or more metal silicide conductive structures at the exposed sidewall portions; removing the dielectric cap layer; and removing the silicon-containing topographic feature. The result is the formation of one or more metal silicide conductor structures formed for a single lithographically defined feature. In example embodiments, the formed metal silicide conductive structures have a high aspect ratio, e.g., ranging from 1:1 to 20:1 (height to width dimension).
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, John J. Ellis-Monaghan, Edward J. Nowak, Jed H. Rankin
  • Patent number: 7790491
    Abstract: A method includes forming a release layer of a semiconductor device being fabricated, where the release layer has a trapezoidal shape. The method also includes forming a cantilever, which has a cantilever arm formed over the release layer. The method further includes removing at least part of the release layer from under the cantilever arm. The release layer could be formed using a photo-resist material. The photo-resist material can be patterned by exposing the photo-resist material using multiple exposures. A first exposure could expose a portion of the photo-resist material, where the exposed portion has substantially vertical sides. A second exposure could give the exposed portion of the photo-resist material slanted sides. A wet etch could be performed to remove the release layer from under the cantilever arm.
    Type: Grant
    Filed: May 7, 2008
    Date of Patent: September 7, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Li-Heng Chou, Jiankang Bu
  • Patent number: 7790610
    Abstract: Methods of fabricating a memory unit are provided including forming a plurality of first nanowire structures, each of which includes a first nanowire extending in a first direction parallel to the first substrate and a first electrode layer enclosing the first nanowire, on a first substrate. The first electrode layers are partially removed to form first electrodes beneath the first nanowires. A first insulation layer filling up spaces between structures, each of which includes the first nanowire and the first electrode, is formed on the first substrate. A second electrode layer is formed on the first nanowires and the first insulation layer. A plurality of second nanowires is formed on the second electrode layer, each of which extends in a second direction perpendicular to the first direction. The second electrode layer is partially etched using the second nanowires as an etching mask to form a plurality of second electrodes.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: September 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moon-Sook Lee, Byeong-Ok Cho, Man-Hyoung Ryoo, Takahiro Yasue
  • Publication number: 20100219491
    Abstract: A magnetic tunneling junction device and fabrication method is disclosed. In a particular embodiment, the method includes depositing a capping material on a free layer of a magnetic tunneling junction structure to form the capping layer and oxidizing a portion of the capping material to form a layer of oxidized material.
    Type: Application
    Filed: March 2, 2009
    Publication date: September 2, 2010
    Applicant: QUALCOMM Incorporated
    Inventors: Kangho Lee, Xiaochun Zhu, Xia Li, Seung H. Kang
  • Patent number: 7786581
    Abstract: A method of manufacturing a semiconductor device includes forming a diffusion barrier layer on a substrate, and forming at least two features on the substrate such that the diffusion barrier layer is respectively disposed between each feature and the substrate and contacts the at least two features. A first impurity region of the substrate contains impurities of a first type, a second impurity region of the substrate contains impurities of a second type, different from the first type, a first feature of the at least two features is in the first impurity region, and a second feature of the at least two features is in the second impurity region, such that the second feature is electrically isolated from first feature by the different impurity regions.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: August 31, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Un Byoung Kang, Yong Hwan Kwon, Chung Sun Lee, Woon Seong Kwon, Hyung Sun Jang
  • Patent number: 7785952
    Abstract: Metal-oxide semiconductor (MOS) devices and techniques for the fabrication thereof are provided. In one aspect, a metal-oxide semiconductor device is provided comprising a substrate; and at least one n-channel field effect transistor (NFET) having a gate stack over the substrate. The NFET gate stack comprises an NFET gate stack metal gate layer; a first NFET gate stack silicon layer over the NFET gate stack metal gate layer; a second NFET gate stack silicon layer over a side of the first NFET gate stack silicon layer opposite the NFET gate stack metal gate layer, wherein an interface is defined between the first NFET gate stack silicon layer and the second NFET gate stack silicon layer; and an NFET gate stack silicide region that extends through the interface between the first NFET gate stack silicon layer and the second NFET gate stack silicon layer.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: August 31, 2010
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Renee Tong Mo, Jeffrey W. Sleight
  • Patent number: 7781325
    Abstract: Copper pillar tin bump on semiconductor chip comprises a copper layer composed on chip and a tin layer entirely wrapping whole outer surface of said copper layer. A method for forming of the copper pillar tin bump on semiconductor chip comprises: composing the first copper layer on said chip; applying photoresist to said first copper layer, exposing and developing a part of said photoresist, composing the copper pillar layer at the developed part of photoresist, composing the upper tin layer, removing said photoresist, removing said the first copper layer except disposing place of copper pillar layer, composing side tin layer. The minute pattern makes it possible to form a high density packaging by reducing a pitch of copper pillar tin bump. Signal delay can be reduced by low electric resistance, and underfill can be easily soaked.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: August 24, 2010
    Assignee: Hwaback Engineering Co., Ltd.
    Inventors: Kang Lee, Sang Jeen Hong
  • Publication number: 20100201001
    Abstract: A method for manufacturing a semiconductor device includes: a) preparing a structure including a semiconductor substrate, an electrode provided on a first surface of the semiconductor substrate, and an insulation film provided on the first surface and having an opening positioned on a first part of the electrode; b) forming a first metal layer from an upper surface of the first part of the electrode to an upper surface of the insulation film; c) forming a resin layer on a first part of the first metal layer, which is positioned on the first part of the electrode, and on the insulation film after the step b); d) removing at least a second part of the resin layer, which is positioned on the first part of the first metal layer, in a manner to leave a first part of the resin layer so as to form a resin protrusion; and e) forming a second metal layer, which is electrically connected with the electrode, from an upper surface of the first metal layer to an upper surface of the resin protrusion.
    Type: Application
    Filed: January 13, 2010
    Publication date: August 12, 2010
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Tatsuhiko ASAKAWA
  • Publication number: 20100197134
    Abstract: An integrated circuit chip includes devices formed by doping of a semiconductor on a substrate and at least one post-device formation through-chip via made up of an annulus of insulating material, an annulus of metallization bounding an outer surface of the annulus of insulating material and an annulus of electrically conductive material within the annulus of insulating material, the annulus of metallization and the annulus of electrically conductive material being electrically isolated from each another.
    Type: Application
    Filed: April 16, 2010
    Publication date: August 5, 2010
    Inventor: John Trezza
  • Patent number: 7767591
    Abstract: The invention relates to a method for producing electronic components in a vacuum. The aim of the invention is to create flexible electronic components that have an optimum action, are cost-effective, and easy to produce in a single working cycle. To this end, a carrier film (12) is partially and/or selectively compressed with a blocking liquid, and is subjected to cathodic sputtering. A metallic layer is deposited on the carrier film (12) in the region free of the blocking layer, and the blocking liquid is evaporated during the evaporation process. A semiconductor agent is applied to the coated carrier film (12) during another evaporation process, and a coating with acrylate is then carried out. The carrier liquid is then partially and/or selectively reapplied to the acrylate layer and a cathodic sputtering is carried out. The cited coating processes are optionally repeated, and connections can be established between the individual metallized layers.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: August 3, 2010
    Assignee: Steiner GmbH & Co. KG
    Inventor: Rolf Treude
  • Patent number: 7768132
    Abstract: A circuit device including a multilayer wiring structure having an improved heat radiation performance, and a manufacturing method thereof is provided. A circuit device of the invention includes a first wiring layer and a second wiring layer laminated while interposing a first insulating layer. The first wiring layer is connected to the second wiring layer in a desired position through a connecting portion formed so as to penetrate the first insulating layer. The connecting portion includes a first connecting portion protruding in a thickness direction from the first wiring layer, and a second connecting portion protruding in the thickness direction from the second wiring layer. The first connecting portion and the second connecting portion contact each other at an intermediate portion in the thickness direction of the insulating layer.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: August 3, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yusuke Igarashi, Takeshi Nakamura, Yasunori Inoue, Ryosuke Usul, Hideki Mizuhara
  • Publication number: 20100181617
    Abstract: A method is disclosed for forming a patterned thick metallization atop a semiconductor chip wafer. The method includes fabricating a nearly complete semiconductor chip wafer ready for metallization; depositing a bottom metal layer of sub-thickness TK1 together with its built-in alignment mark using a hot metal process; depositing a top metal layer of sub-thickness TK2 using a cold metal process thus forming a stacked thick metallization of total thickness TK=TK1+TK2; then, use the built-in alignment mark as reference, patterning the stacked thick metallization. A patterned thick metallization is thus formed with the advantages of better metal step coverage owing to the superior step coverage nature of the hot metal process as compared to the cold metal process; and lower alignment error rate owing to the lower alignment signal noise nature of the cold metal process as compared to the hot metal process.
    Type: Application
    Filed: January 20, 2009
    Publication date: July 22, 2010
    Inventor: Il Kwan Lee
  • Patent number: 7754577
    Abstract: A method for fabricating a capacitor includes: forming a storage node contact plug over a substrate; forming an insulation layer having an opening exposing a surface of the storage node contact plug over the storage contact plug; forming a conductive layer for a storage node over the insulation layer and the exposed surface of the storage node contact plug through two steps performed at different temperatures; performing an isolation process to isolate parts of the conductive layer; and sequentially forming a dielectric layer and a plate electrode over the isolated conductive layer.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: July 13, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jin-Hyock Kim, Seung-Jin Yeom, Ki-Seon Park, Han-Sang Song, Deok-Sin Kil, Jae-Sung Roh
  • Patent number: 7754603
    Abstract: Multi-functional electronic switching and current control device comprising a chalcogenide material. The devices include a load terminal, a reference terminal and a control terminal. Application of a control signal to the control terminal permits the device to function in one or more of the following modes reversibly: (1) a gain mode in which gain is induced in the current passing between the load and reference terminals; (2) a conductivity modulation mode in which the conductivity of the chalcogenide material between the load and reference terminals is modulated; (3) a current modulation mode in which the current or current density between the load and reference terminals is modulated; and/or (4) a threshold modulation mode in which the voltage required to switch the chalcogenide material between the load and reference terminals from a resistive state to a conductive state is modulated. The devices may be used as interconnection devices or signal providing devices in circuits and networks.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: July 13, 2010
    Assignee: Ovonyx, Inc.
    Inventor: Stanford R. Ovshinsky
  • Publication number: 20100164122
    Abstract: Provided are a method of forming a conductive layer on an inner portion of a through-electrode in which uniform adhesion property of plating in the inner portion of a through-hole is enhanced and a tact time is short, and a semiconductor device. The method of forming a conductive layer includes: a first plating step of forming a first plating layer on the inner portion of the through-hole; a plating suppression layer forming step of forming a plating suppression layer including a material different from a material of the first plating layer in an opening portion of the through-hole after the first plating step; and a second plating step of forming a second plating layer by plating on the inner portion of the through-hole after the plating suppression layer forming step.
    Type: Application
    Filed: December 9, 2009
    Publication date: July 1, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Takashi Sakaki
  • Publication number: 20100164061
    Abstract: A semiconductor chip comprising a capacitor capable of effectively controlling the voltage drop of an LSI is provided. A semiconductor substrate is provided with an element electrode having at least its surface constituted of an aluminum electrode. The surface of the aluminum electrode is roughened. An oxide film is provided on the aluminum electrode. A conductive film is provided on the oxide film. The aluminum electrode, oxide film and conductive film form a capacitor.
    Type: Application
    Filed: August 24, 2007
    Publication date: July 1, 2010
    Inventors: Koichi Hirano, Tetsuyoshi Ogura, Seiichi Nakatani
  • Publication number: 20100167529
    Abstract: An embodiment of the present invention provides a method for manufacturing a semiconductor device. This method comprises: forming a seed film at least on an inner face of a recessed portion of a substrate; forming a protection film on the seed film, the protection film being made of a material that is more easily oxidized than a material forming the seed film; heat-treating the protection film; exposing at least part of the seed film by removing at least part of the heat-treated protection film; forming a plating film on the seed film through electrolytic plating to be buried in the recessed portion, by supplying current to the seed film that is at least partially exposed; and removing the plating film except for a portion buried in the recessed portion.
    Type: Application
    Filed: December 23, 2009
    Publication date: July 1, 2010
    Inventors: Atsuko SAKATA, Soichi Yamashita, Yasuyuki Sonoda, Hiroshi Toyoda, Masahiko Hasunuma
  • Publication number: 20100163883
    Abstract: A manufacturing method of an electro line for a liquid crystal display device includes depositing a barrier layer made of a conducting material on a substrate, depositing a copper layer (Cu) on the barrier layer, wet-etching the Cu layer using a first etchant, and dry-etching the barrier layer using a second etchant using the wet-etched Cu layer as an etch mask.
    Type: Application
    Filed: March 5, 2010
    Publication date: July 1, 2010
    Inventors: Oh-Nam KWON, Kyoung-Mook LEE, Heung-Lyul CHO, Seung-Hee NAM, Cyoo-Chul JO
  • Patent number: 7745317
    Abstract: A semiconductor device provided with a silicon carbide semiconductor substrate, and an ohmic metal layer joined to one surface of the silicon carbide semiconductor substrate in an ohmic contact and composed of a metal material whose silicide formation free energy and carbide formation free energy respectively take negative values. The ohmic metal layer is composed of, for example, a metal material such as molybdenum, titanium, chromium, manganese, zirconium, tantalum, or tungsten.
    Type: Grant
    Filed: June 14, 2006
    Date of Patent: June 29, 2010
    Assignee: Rohm Co., Ltd.
    Inventors: Yuji Okamura, Masashi Matsushita