Selective Deposition Of Conductive Layer Patents (Class 438/674)
  • Patent number: 7919407
    Abstract: Described herein are novel, cost effective and scalable methods for integrating a CMOS level with a memory cell level to form a field induced MRAM device. The memory portion of the device includes N parallel word lines, which may be clad, overlaid by M parallel bit lines orthogonal to the word lines and individual patterned memory cells formed on previously patterned electrodes at the N×M intersections of the two sets of lines. The memory portion is integrated with a CMOS level and the connection between levels is facilitated by the formation of interconnecting vias between the N×M electrodes and corresponding pads in the CMOS level and by word line connection pads in the memory device level and corresponding metal pads in the CMOS level.
    Type: Grant
    Filed: November 17, 2009
    Date of Patent: April 5, 2011
    Assignee: MagIC Technologies, Inc.
    Inventors: Tom Zhong, Wai-Ming Johnson Kan, Daniel Liu, Adam Zhong, Chyu-Jiuh Torng
  • Patent number: 7919408
    Abstract: A method for fabricating fine line and space routing described. The method includes providing a substrate having a dielectric layer and a seed layer disposed thereon. An anti-reflective coating layer and a photo-resist layer are then formed above the seed layer. The photo-resist layer and the anti-reflective coating layer are patterned to form a patterned photo-resist layer and a patterned anti-reflective coating layer, to expose a first portion of the seed layer, and to leave covered a second portion of the seed layer. A metal layer is then formed on the first portion of the seed layer, between features of the patterned photo-resist layer and the patterned anti-reflective coating layer. The patterned photo-resist layer and the patterned anti-reflective coating layer are subsequently removed. Then, the second portion of the seed layer is removed to provide a series of metal lines above the dielectric layer.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: April 5, 2011
    Assignee: Intel Corporation
    Inventors: Mark S. Hlad, Sheng Li
  • Publication number: 20110074034
    Abstract: A semiconductor component and methods for manufacturing the semiconductor component that includes a double exposure of a layer of photoresist or the use of multiple layers of photoresist. A metallization structure is formed on a layer of electrically conductive material that is disposed on a substrate and a layer of photoresist is formed on the metallization structure. The layer of photoresist is exposed to light and developed to remove a portion of the photoresist layer, thereby forming an opening. Then, a larger portion of the photoresist layer is exposed to light and an electrically conductive interconnect is formed in the opening. The larger portion of the photoresist layer that was exposed to light is developed to expose edges of the electrically conductive interconnect and portions of the metallization structure. A protection layer is formed on the top and edges of the electrically conductive interconnect and on the exposed portions of the metallization structure.
    Type: Application
    Filed: September 29, 2009
    Publication date: March 31, 2011
    Inventors: Michael J. Seddon, Francis J. Carney
  • Publication number: 20110076847
    Abstract: An apparatus and method for processing the solar cell substrates is provided. In one embodiment, a laser firing chamber for processing solar cell substrates placed in a carrier, comprising a laser module located at a side of the carrier, the laser module being adapted to generate and direct multiple laser beams over an entire surface of a plurality of solar cell substrates, and a transport adapted to convey the carrier through an outputting region of the laser beams.
    Type: Application
    Filed: September 27, 2010
    Publication date: March 31, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Derek Aqui, Steven M. Zuniga, Venkateswaran Subbaraman, Kirk Liebscher, John Alexander, Zhenhua Zhang, Virendra V.S. Rana
  • Patent number: 7915735
    Abstract: Selective deposition of metal over dielectric layers in a manner that minimizes or eliminates keyhole formation is provided. According to one embodiment, a dielectric target layer is formed over a substrate layer, wherein the target layer may be configured to allow conformal metal deposition, and a dielectric second layer is formed over the target layer, wherein the second layer may be configured to allow bottom-up metal deposition. An opening may then be formed in the second layer and metal may be selectively deposited over the substrate layer.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: March 29, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Paul Morgan, Nishant Sinha
  • Patent number: 7902062
    Abstract: A method is described in which a contact hole (18) to an interconnect (14) in an insulating layer (16) is fabricated. A barrier layer (20) is subsequently applied. Afterward, a photoresist layer (30) is applied, irradiated and developed. With the aid of a galvanic method, a copper contact (32) is then produced in the contact hole (18). Either the barrier layer (20) or an additional boundary electrode layer (22) serves as a boundary electrode in the galvanic process. Critical metal contaminations are minimized in production.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: March 8, 2011
    Assignee: Infineon Technologies AG
    Inventors: Stephan Bradl, Klaus Kerkel, Christine Lindner
  • Publication number: 20110053374
    Abstract: There is provided a method for manufacturing a semiconductor device which is capable of stably forming a plated layer on a plating base layer while adhered chippings are reduced. The method includes forming an insulating film covering at least a base metal on a diffusion region of a semiconductor substrate, forming an organic coating film having an opening at least at a surface section of the base metal being to be exposed on the insulating film, pasting a surface protection tape on the semiconductor substrate to cover the insulating film and the organic coating film, polishing a back surface of the semiconductor substrate that opposes the base metal, removing the surface protection tape, etching the insulating film with the organic coating film used as a mask to expose the base metal and forming a conductive plated layer on the base metal.
    Type: Application
    Filed: March 9, 2010
    Publication date: March 3, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Motoshige Kobayashi
  • Publication number: 20110053375
    Abstract: A method for processing an amorphous carbon film which has been formed on a substrate and wet-cleaned after being dry-etched includes preparing the substrate having the wet-cleaned amorphous carbon film and modifying a surface of the amorphous carbon film, before forming an upper layer on the wet-cleaned amorphous carbon film.
    Type: Application
    Filed: January 9, 2009
    Publication date: March 3, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiraku Ishikawa, Takaaki Matsuoka
  • Publication number: 20110034028
    Abstract: The present invention related to a method for manufacturing a semiconductor device. More particularly, this method describes how to manufacture a semiconductor device having a porous, low dielectric constant layer formed between metal lines, comprising an insulation layer enveloping fillers.
    Type: Application
    Filed: October 18, 2010
    Publication date: February 10, 2011
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Chang Soo PARK
  • Patent number: 7884018
    Abstract: A method of forming a noble metal cap on a conductive material embedded in a dielectric material in an interconnect structure. The method includes the step of contacting (i) a conductive material having a bare upper surface partially embedded in a dielectric material and (ii) vapor of a noble metal containing compound, in the presence of carbon monoxide and a carrier gas. The contacting step is carried out at a temperature, pressure and for a length of time sufficient to produce a noble metal cap disposed directly on the upper surface of the conductive material without substantially extending into upper surface of the dielectric material or leaving a noble metal residue onto the dielectric material.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Fenton R. McFeely, Chih-Chao Yang
  • Patent number: 7879696
    Abstract: Compositions, inks and methods for forming a patterned silicon-containing film and patterned structures including such a film. The composition generally includes (a) passivated semiconductor nanoparticles and (b) first and second cyclic Group IVA compounds in which the cyclic species predominantly contains Si and/or Ge atoms. The ink generally includes the composition and a solvent in which the composition is soluble. The method generally includes the steps of (1) printing the composition or ink on a substrate to form a pattern, and (2) curing the patterned composition or ink. In an alternative embodiment, the method includes the steps of (i) curing either a semiconductor nanoparticle composition or at least one cyclic Group IVA compound to form a thin film, (ii) coating the thin film with the other, and (iii) curing the coated thin film to form a semiconducting thin film.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: February 1, 2011
    Assignee: Kovio, Inc.
    Inventors: Klaus Kunze, Scott Haubrich, Fabio Zurcher, Brent Ridley, Joerg Rockenberger
  • Publication number: 20110021023
    Abstract: A method of forming a resist pattern on a silicon semiconductor substrate having an anti-reflective layer thereon is described. The method includes the steps of a) modifying surface energy of the anti-reflective surface with a chemical treatment composition, b) applying a UV etch resist to the treated anti-reflective surface, and c) exposing the anti-reflective surface to a wet chemical etchant composition to remove exposed areas of the anti-reflective surface. Thereafter, the substrate can be metallized to provide a conductor pattern. The method may be used to produce silicon solar cells.
    Type: Application
    Filed: July 27, 2009
    Publication date: January 27, 2011
    Inventors: Adam Letize, Andrew M. Krol, Ernest Long, Steven A. Castaldi
  • Publication number: 20110014752
    Abstract: A substrate for a semiconductor device includes: a base plate, a plurality of external terminal portions respectively arranged in a plane on the base plate and having external terminal faces respectively facing the base plate; a plurality of internal terminal portions, respectively arranged in the plane on the base plate and having internal terminal faces respectively facing an opposite side to the base plate. The internal terminal portions are connected with the external terminal portions via wiring portions, respectively. A part of the external terminal portions are located on the base plate in a predetermined arrangement area in which a semiconductor element is arranged.
    Type: Application
    Filed: September 28, 2010
    Publication date: January 20, 2011
    Applicant: DAI NIPPON PRINTING CO., LTD.
    Inventors: Chikao Ikenaga, Shozo Ishikawa
  • Patent number: 7867903
    Abstract: A method of producing a passivated thin film material is disclosed wherein an insulating thin film layer (10), having pinholes (12) therein, is positioned upon an underlying electrically conductive substrate (11). The thin film layer is then electroplated so that the pinholes are filled with a reactive metal. The thin film layer and substrate are then immersed within a silicon doped tetramethylammonium hydroxide (TMAH) solution. Excess silica within the solution precipitates onto the top surfaces of the aluminum plugs (13) to form an electrically insulative cap which electrically insulates the top of the aluminum plug. As an alternative, the previously described metal plugs may be anodized so that at least a portion thereof becomes an oxidized metal which is electrically insulative.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: January 11, 2011
    Assignee: Johnson Research & Development Co., Inc.
    Inventors: Lonnie G Johnson, Davorin Babic
  • Patent number: 7858453
    Abstract: A step of forming wiring using first solution ejection means for ejecting a conductive material, a step of forming a resist mask on the wiring using second solution ejection means, and a step of etching the wiring using an atmospheric-pressure plasma device having linear plasma generation means or an atmospheric-pressure plasma device having a plurality of linearly-arranged plasma-generation-means using the resist mask as a mask are included.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: December 28, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7858521
    Abstract: A method of electroplating includes forming a seed region to be electroplated on a first portion of a substrate, forming a ground plane on a second portion of a substrate, electrically isolating the ground plane from the seed region, electroplating the region, wherein electroplating includes causing the ground plane and the region to make electrical connection, and then removing the ground plane region on the second portion of the substrate, but not removing the electrical isolation. This creates a structure having a substrate, a passivation layer on the substrate, and at least one electroplated, metal region on the substrate such that there is contiguous contact between the metal region and the passivation layer. And, after an additional flip-chip assembly to a bond pad/heat sinking chip, results in a device having a bond pad chip having bond pads, solder beads formed on the bond pads, and a component connected to the bond pads by the solder beads.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: December 28, 2010
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Clifford F. Knollenberg, Mark R. Teepe, Christopher L. Chua
  • Publication number: 20100323520
    Abstract: A method of forming patterns of a semiconductor device comprises forming a number of first insulating patterns that define sidewalls by patterning a first insulating layer formed over a semiconductor substrate, forming second insulating patterns, each second insulating pattern comprising a horizontal portion having two ends and being parallel to the semiconductor substrate and spaced protruding portions protruding from both ends of the horizontal portion parallel to the sidewalls of the first insulating patterns, forming third insulating patterns each filling a space between the protruding portions, removing the protruding portions to form trenches, and forming conductive patterns within the respective trenches.
    Type: Application
    Filed: December 30, 2009
    Publication date: December 23, 2010
    Applicant: HYNIX SEMICONDUCTOR, INC.
    Inventor: Tae Kyung Kim
  • Publication number: 20100317193
    Abstract: A method for defining patterns in an integrated circuit comprises defining a plurality of features in a first photoresist layer using photolithography over a first region of a substrate. The method further comprises using pitch multiplication to produce at least two features in a lower masking layer for each feature in the photoresist layer. The features in the lower masking layer include looped ends. The method further comprises covering with a second photoresist layer a second region of the substrate including the looped ends in the lower masking layer. The method further comprises etching a pattern of trenches in the substrate through the features in the lower masking layer without etching in the second region. The trenches have a trench width.
    Type: Application
    Filed: August 4, 2010
    Publication date: December 16, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Luan C. Tran, John Lee, Zengtao Tony Liu, Eric Freeman, Russell Nielsen
  • Publication number: 20100317191
    Abstract: A method of depositing a copper interconnection layer on a substrate for use in a flat panel display interconnection system, comprising the steps of: a) coating said substrate with a photoresist layer; b) patterning said photoresist layer to obtain a patterned photoresist substrate comprising at least one trench patterned into said photoresist layer; c) providing a first catalyzation layer onto the patterned photoresist substrate; d) providing an electroless plated layer of an insulation layer deposited onto said first catalyzation layer; e) removing the successively superimposed photoresist layer, catalyzation layer and insulation layer except in the at least one trench, to obtain a pattern of the first catalyzation layer with an insulation layer deposited thereon.
    Type: Application
    Filed: March 15, 2007
    Publication date: December 16, 2010
    Inventors: Akinobu Nasu, Yi-Tsung Chen, Shyuan-Fang Chen
  • Publication number: 20100317192
    Abstract: The invention relates to a method for masking a semiconductor substrate comprising the following steps: providing a planar semiconductor substrate having a first side and a second side lying opposite thereto, applying a mask to at least one of the sides, an extrusion printing method being envisaged for applying the mask.
    Type: Application
    Filed: June 15, 2010
    Publication date: December 16, 2010
    Inventors: Holger NEUHAUS, Andreas Krause, Bernd Bitnar, Frederick Bamberg, Reinhold Schlosser
  • Publication number: 20100311240
    Abstract: A method of manufacturing a semiconductor device according to an embodiment, includes forming a wiring in a surface of a first insulating film on a semiconductor substrate, exposing the first insulating film in whose surface the wiring is formed to a plasma containing a rare gas so as to form a densified layer on the surface of the first insulating film, removing an oxide film formed on the wiring, after the densified layer is formed and forming a second insulating film on the wiring from which the oxide film is removed and on the densified layer, wherein the processes from the removal of the oxide film to the formation of the second insulating film are carried out without being atmospherically-exposed.
    Type: Application
    Filed: March 17, 2010
    Publication date: December 9, 2010
    Inventors: Hideaki Masuda, Kei Watanabe, Kenichi Ootsuka
  • Patent number: 7846827
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a plug on a semiconductor substrate, forming an insulation layer over the semiconductor substrate having the plug formed thereon, defining a line type trench through a first etching of a partial thickness of the insulation layer; and defining a contact hole through a second etching of a portion of the insulation layer corresponding to the bottom of the trench so as to expose the plug.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: December 7, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hyoung Joon Kim, Ho Yup Kwon, Jeong Hoon Park, Sung Hyun Kim
  • Publication number: 20100301456
    Abstract: A method for applying a predetermined structure of a structural material to a semiconductor element. The method includes the following steps: A) partially covering a surface of the semiconductor element with a masking layer, B) applying a film of a structural material to the masking layer and to the surface of the semiconductor element in the zones that are devoid of the masking layer and C) removing the masking layer together with the structural material present on the masking layer. The method according to the invention provides that between process steps B and C, the film of structural material is partially removed in a process step B2.
    Type: Application
    Filed: December 20, 2007
    Publication date: December 2, 2010
    Applicant: Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V.
    Inventors: Oliver Schultz-Wittmann, Filip Granek, Andreas Grohe
  • Publication number: 20100304566
    Abstract: Silicon oxide based low-k dielectric materials may receive superior hydrophobic surface characteristics on the basis of a plasma treatment using hydrogen and carbon containing radicals. For this purpose, the surface of the low-k dielectric material may be exposed to these radicals, at least in one in situ process in combination with another reactive plasma ambient, for instance used for patterning the low-k dielectric material. Consequently, superior surface characteristics may be established or re-established without significantly contributing to product cycle time.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 2, 2010
    Inventors: Daniel Fischer, Matthias Schaller
  • Patent number: 7842613
    Abstract: Methods of forming a substrate for microelectronic packaging may include electroplating a metal seed layer onto a sidewall of a trench extending through the substrate. The sidewall may be patterned to have at least one slot therein that extends through the substrate. This slot is formed to be sufficiently narrow to block plating of the metal seed layer onto sidewalls of the slot. Thereafter, the at least a pair of electrodes are selectively electroplated onto side-by-side portions of the metal seed layer on the sidewall of the trench. During this electroplating step, the slot is used to provide a self-aligned separation between the pair of electrodes.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: November 30, 2010
    Assignee: Integrated Device Technology, Inc.
    Inventor: Kuolung Lei
  • Patent number: 7838851
    Abstract: The present invention provides a method and an apparatus for producing a two-dimensional patterned beam, e.g. a two-dimensional patterned and focused ion beam, for fabricating a nano-structure on a substrate with the precursor gas. In comparison with the conventional focused ion beam that is applied for fabricating a dot-like nano-structure the method is more simplified and easy to be achieved.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: November 23, 2010
    Assignee: Instrument Technology Research Center, National Applied Research Laboratories
    Inventors: Jyh-Shin Chen, Liang-Chiun Chao, Sheng-Yuan Chen, Hsiao-Yu Chou
  • Publication number: 20100291742
    Abstract: A method of fabricating a memory cell comprises forming a plurality of doped semiconductor layers on a carrier substrate. The method further comprises forming a plurality of digit lines separated by an insulating material. The digit lines are arrayed over the doped semiconductor layers. The method further comprises etching a plurality of trenches into the doped semiconductor layers. The method further comprises depositing an insulating material into the plurality of trenches to form a plurality of electrically isolated transistor pillars. The method further comprises bonding at least a portion of the structure formed on the carrier substrate to a host substrate. The method further comprises separating the carrier substrate from the host substrate.
    Type: Application
    Filed: July 27, 2010
    Publication date: November 18, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: David H. Wells, H. Montgomery Manning
  • Publication number: 20100291768
    Abstract: An exposure mask for recess gate includes a transparent substrate and a recess gate pattern. The recess gate pattern is disposed over the transparent substrate. The recess gate pattern includes a first portion having a first line width and a second portion having a second line width smaller than the first line width. In the second portion, elements of the recess gate pattern are separated.
    Type: Application
    Filed: July 23, 2010
    Publication date: November 18, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Yong Soon Jung
  • Publication number: 20100283155
    Abstract: A method of forming a pair of conductive lines in the fabrication of integrated circuitry includes forming a trench into a damascene material received over a substrate. Conductive material is deposited over the damascene material and to within the trench to overfill the trench. The conductive material is removed back at least to the damascene material to leave at least some of the conductive material remaining in the trench. Etching is conducted longitudinally through the conductive material within the trench to form first and second conductive lines within the trench which are mirror images of one another in lateral cross section along at least a majority of length of the first and second conductive lines. Other implementations are contemplated.
    Type: Application
    Filed: May 6, 2009
    Publication date: November 11, 2010
    Inventors: Sanh Tang, Ming Zhang
  • Patent number: 7829449
    Abstract: An electronic integrated circuit is fabricated by forming on a substrate, of which a part is composed of absorbing material, a portion made of a sacrificial material. The sacrificial material includes cobalt, nickel, titanium, tantalum, tungsten, molybdenum, gallium, indium, silver, gold, iron and/or chromium. A rigid portion is then formed in fixed contact with the substrate, on one side of the portion of sacrificial material opposite to the part of the substrate composed of absorbing material. The circuit is heated such that the sacrificial material is absorbed into the part of the substrate composed of absorbing material. A substantially empty volume is thus created in place of the portion of sacrificial material. The volume that is substantially empty can replace a dielectric material situated between the electrodes of a capacitor.
    Type: Grant
    Filed: February 10, 2005
    Date of Patent: November 9, 2010
    Assignees: STMicroelectronics (Crolles 2) SAS, Koninklijke Phillips Electronics N.V.
    Inventors: Christophe Regnier, Aurelie Humbert
  • Publication number: 20100279480
    Abstract: A method of forming a small geometry feature. The method includes forming a source layer on a top surface of a substrate; forming a mandrel on a top surface of the source layer, the mandrel having a sidewall; sputtering material from the source layer onto the sidewall of the mandrel to form a sidewall layer on the sidewall of the mandrel; and removing the mandrel. Also methods to forming wires and field effect transistors of integrated circuits.
    Type: Application
    Filed: February 11, 2008
    Publication date: November 4, 2010
    Inventors: James William Adkisson, James Peter Gambino, Robert Kenneth Leidy, Walter Victor Lepuschenko, David Alan Meatyard, Stephen A. Mongeon, Richard John Rassel
  • Publication number: 20100276698
    Abstract: A transistor device having a tiered gate electrode fabricated with methods using a triple layer resist structure. The triple layer resist stack is deposited on a semiconductor structure. An exposure pattern is written onto the resist stack using an e-beam writer, for example. The exposure dose is non-uniform across the device. Portions of the three resist layers are removed with a sequential development process, resulting in tiered resist structure. A conductive material is deposited to form the gate electrode. The resulting “Air-T” gate also has a three-tiered structure. The fabrication process is well-suited for the production of gates small enough for use in millimeter wave devices.
    Type: Application
    Filed: April 29, 2009
    Publication date: November 4, 2010
    Inventors: Marcia Moore, Sten Heikman
  • Publication number: 20100270672
    Abstract: A semiconductor device includes a conductive section formed on a semiconductor chip; and a bump electrode formed directly or indirectly on the conductive section. The conductive section includes a slit section having a thickness thinner than another portion of the conductive section. The bump electrode has a recessed section corresponds to the slit section above the slit section.
    Type: Application
    Filed: January 7, 2010
    Publication date: October 28, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Seiichi Shiraki
  • Publication number: 20100263998
    Abstract: Vertical integrated MEMS switches, design structures and methods of fabricating such vertical switches is provided herein. The method of manufacturing a MEMS switch, includes forming at least two vertically extending vias in a wafer and filling the at least two vertically extending vias with a metal to form at least two vertically extending wires. The method further includes opening a void in the wafer from a bottom side such that at least one of the vertically extending wires is moveable within the void.
    Type: Application
    Filed: April 20, 2009
    Publication date: October 21, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Felix P. Anderson, Edward C. Cooney, III, Thomas L. Mcdevitt, Anthony K. Stamper
  • Patent number: 7816263
    Abstract: Disclosed is a method for manufacturing a thin film transistor having high resolution and high pattern accuracy with high production efficiency. Particularly disclosed is a method for manufacturing a thin film transistor wherein there is prevented deterioration of semiconductor properties in a plating step for electrode formation. This method is characterized in that a source electrode or a drain electrode is formed by such a process wherein a protective film is formed on an organic semiconductor layer, then a plating catalyst pattern is formed thereon by supplying a liquid containing a plating catalyst, and then a plating agent is brought into contact with the pattern.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: October 19, 2010
    Assignee: Konica Minolta Holdings, Inc.
    Inventor: Katsura Hirai
  • Patent number: 7811918
    Abstract: A conformal metallic layer is applied to a selected region of a substrate by forming a pattern of electrically conductive lines on the substrate, placing a bead of a selected metal on the substrate at an edge of the region selected for coating, and passing an electric current through the bead and through conductive lines that extend over the region of the substrate selected for coating with the electric current having a current density sufficient to melt the bead so that metallic material therefrom flows over the conductive lines to form the coating. A pair of electrically conductive connectors is placed in contact with the electrically conductive lines, and an electric power supply is connected to the pair of electrically conductive connectors such that electric current passes through the bead, melts the bead to form a liquid metal, and carries the liquid metal in a continuous stream along the conductive lines, coating the conductive lines conformally in the process.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: October 12, 2010
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventor: Indranath Dutta
  • Patent number: 7811932
    Abstract: A die-on-die assembly has a first die (10) and a second die (50). The first die (10) has a first contact extension (28,42) and a peg (32,44,45) extending a first height above the first die. The second die (50) has a second contact extension (68) connected to the first contact extension and has a containing feature (62) extending a second height above the second die surrounding the peg. The peg extends past the containing feature. Because the peg extends past the containing feature, lateral movement between the first and second die can cause the peg to come in contact with and be constrained by the containing feature. The peg and containing feature are thus useful in constraining movement between the first and second die.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: October 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Scott K. Pozder, Ritwik Chatterjee
  • Publication number: 20100252102
    Abstract: Method for printing on a wafer (1) by screen-printing, characterized in that it comprises the following steps: producing at least two first test-patterns (5a-5d) on the surface (4) of the wafer (1); printing at least four second test-patterns (6a-6d), distinct from the at least two first test-patterns (5a-5d), during printing on the surface (4) of the wafer (1) by screen-printing; measuring the actual distance obtained on the surface (4) of the wafer (1) between the first test-patterns (5a-5d) and the second test-patterns (6a-6d); comparing this actual distance with a theoretical distance in order to deduce therefrom the offset of the screen-printing screen (25) of the printing.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 7, 2010
    Inventor: Armand BETTINELLI
  • Patent number: 7807570
    Abstract: An embodiment of the invention provides a method of creating local metallization in a semiconductor structure, and the use of local metallization so created in semiconductor structures. In one respect, the method includes forming an insulating layer on top of a semiconductor substrate; creating a plurality of voids inside the insulating layer, with the plurality of voids spanning across a predefined area and being substantially confined within a range of depth below a top surface of the insulating layer; creating at least one via hole in the insulating layer, with the via hole passing through the predefined area; and filling the via hole, and the plurality of voids inside the insulating layer through at least the via hole, with a conductive material to form a local metallization. A semiconductor structure having the local metallization is also provided.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: October 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jeffery B. Maxson, Aurelia A. Suwarno-Handayana, Shamas M. Ummer, Kenneth J. Giewont, Scott Richard Stiffler
  • Publication number: 20100244032
    Abstract: An Aluminum-Nickel alloy wiring material includes Aluminum, Nickel, Cerium, and Boron. A thin film transistor includes the Aluminum-Nickel alloy wiring material. A sputtering target comprises Aluminum, Nickel, Cerium and Boron. A method of manufacturing a thin film transistor substrate comprises disposing a thin film transistor on a substrate, wherein the thin film transistor includes a wiring circuit layer comprising Aluminum, Nickel, Cerium, and Boron. The Nickel, Cerium and Boron satisfy the following inequalities; 0.5?X?5.0, 0.01?Y?1.0, and 0.01?Z?1.0, respectively, wherein X represents an atomic percentage of Nickel content, Y represents an atomic percentage of Cerium content, and Z represents an atomic percentage of Boron content.
    Type: Application
    Filed: March 31, 2010
    Publication date: September 30, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Pil Sang YUN, Byeong-Beom KIM, Changoh JEONG, Yangho BAE, Shigeki TOKUCHI, Ryoma TSUKUDA, Yoshinori MATSUURA, Takashi KUBOTA
  • Publication number: 20100244257
    Abstract: A method of fabricating a semiconductor device includes forming a plurality of mask patterns by anisotropically etching a mask-forming film until upper surfaces of core patterns are exposed. A facing pair includes a pair of the mask patterns facing the core pattern located between the paired mask patterns. The mask patterns of the facing pair have respective lower portions spaced from each other by a first distance. An adjacent pair includes a pair of mask patterns adjacent to each other with a space having no core pattern. The mask patterns of the adjacent pair have respective lower portions spaced from each other by a second distance. The mask patterns are formed so that the second distance is larger than the first distance.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Koichi Matsuno, Yoshiaki Himeno
  • Patent number: 7799407
    Abstract: There is provided a bank structure which partitions off a pattern formation region in which a functional liquid is to be disposed and flow. The pattern formation region includes a first pattern formation region, and a second pattern formation region which is continuously connected to the first pattern formation region and which has a larger width than the first pattern formation region. The second pattern formation region is provided with at least one partition bank which partitions off the second pattern formation region to regulate the flow direction of the functional liquid. A partition width substantially orthogonal to the flow direction of the functional liquid which is regulated by the partition bank is less than ±20% of the width of the first pattern formation region.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: September 21, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Katsuyuki Moriya, Toshimitsu Hirai
  • Patent number: 7799679
    Abstract: Methods and associated structures of forming a microelectronic structure are described. Those methods may comprise dissolving a metal precursor in a non-aqueous solvent in a bath; placing a substrate comprising an interconnect opening in the bath, wherein the metal precursor forms a monolayer within the interconnect opening; and placing the substrate in a coreactant mixture, wherein the coreactant reacts with the metal precursor to form a thin barrier monolayer.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: September 21, 2010
    Assignee: Intel Corporation
    Inventor: Adrien R. Lavoie
  • Patent number: 7793411
    Abstract: A method for manufacturing an electronic substrate including an electronic component bonded with adhesive to a base part, comprises (a) applying a droplet containing the adhesive to an area on the base part, the area facing to the electronic component, within a range substantially equal to a size of the electronic component by using a droplet ejection head moving in relatively to the base part, and (b) mounting the electronic component on the adhesive applied to the base part.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: September 14, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Tsuyoshi Shintate
  • Patent number: 7790590
    Abstract: A substrate provided thereon with an electrical insulating film which carries holes or the like filled with a Cu-containing electrical interconnection film is subjected to a pre-treatment in which the surface of the electrical insulating film and that of the Cu-containing electrical interconnection film are treated at a temperature of not more than 300° C. using, in a predetermined state, a gas of a compound containing an atom selected from the group consisting of N, H and Si atoms within the chemical formula thereof, before selectively forming a W-capping film on the electrical interconnection film. After the completion of the pre-treatment, a W-capping film is selectively formed on the electrical interconnection film and then an upper Cu electrical interconnection is further formed.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: September 7, 2010
    Assignee: Ulvac, Inc.
    Inventors: Narishi Gonohe, Masamichi Harada, Nobuyuki Kato
  • Patent number: 7789319
    Abstract: One embodiment of the present subject matter includes a system which includes a tank, a conduit is adapted to carry a recirculating supply of fluid from the tank and into the tank, and at least one injector adapted to dispense fluid from the recirculating supply of fluid into a chamber.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: September 7, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Eugene P. Marsh, David R. Atwell
  • Patent number: 7781326
    Abstract: A method of forming a material on a substrate is disclosed. In one embodiment, the method includes forming a tantalum nitride layer on a substrate disposed in a plasma process chamber by sequentially exposing the substrate to a tantalum precursor and a nitrogen precursor, followed by reducing a nitrogen concentration of the tantalum nitride layer by exposing the substrate to a plasma annealing process. A metal-containing layer is subsequently deposited on the tantalum nitride layer.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Sean M. Seutter, Michael X. Yang, Ming Xi
  • Publication number: 20100210108
    Abstract: A method for integrating metal-containing cap layers into copper (Cu) metallization of semiconductor devices to improve electromigration and stress migration in bulk Cu metal. In one embodiment, the method includes providing a patterned substrate containing Cu metal surfaces and dielectric layer surfaces, exposing the patterned substrate to a process gas comprising a metal-containing precursor, and irradiating the patterned substrate with electromagnetic radiation, where selective metal-containing cap layer formation on the Cu metal surfaces is facilitated by the electromagnetic radiation. In some embodiments, the method further includes pre-treating the patterned substrate with additional electromagnetic radiation and optionally a cleaning gas prior to forming the metal-containing cap layer.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 19, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Shigeru Mizuno
  • Publication number: 20100210099
    Abstract: Methods of forming a metal silicide layer are provided that include exposing polysilicon through just dry etching (JDE) and recessesing an oxide layer through chemical dry etching (CDE). In particular, dry etching is primarily performed to an extent to expose the polysilicon. Then, CDE is secondarily performed to expose the polysilicon. The CDE process includes selecting an etchant source among combinations of NF3 and NH3, HF and NH3, and N2, H2, and NF3, dissociating the etchant source, forming an etchant of NH4F and NH4F.HF through the dissociation, producing solid by-products of (NH4)2SiF6 through the reaction between the etchant and an oxide at a low temperature, and annealing the by-products at a high temperature such that the by-products are sublimated into gas-phase SiF4, NH3, and HF.
    Type: Application
    Filed: February 12, 2010
    Publication date: August 19, 2010
    Inventors: Won-Goo Hur, Kyu-Tae Na, Min Kim, Hyun-Young Kim, Je-Hyeon Park
  • Patent number: 7776740
    Abstract: A method for integrating low-temperature selective Ru metal deposition into manufacturing of semiconductor devices to improve electromigration and stress migration in bulk Cu metal. The method includes providing a patterned substrate containing a recessed feature in a dielectric layer, where the recessed feature is at least substantially filled with planarized bulk Cu metal, heat-treating the bulk Cu metal and the dielectric layer in the presence of H2, N2, or NH3, or a combination thereof, and selectively depositing a Ru metal film on the heat-treated planarized bulk Cu metal.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: August 17, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Miho Jomen, Jonathan Rullan