Reactive Ion Beam Etching (i.e., Ribe) Patents (Class 438/712)
-
Publication number: 20150064919Abstract: Embodiments of methods for etching a substrate include exposing the substrate to a first plasma formed from an inert gas; exposing the substrate to a second plasma formed from an oxygen-containing gas to form an oxide layer on a bottom and sides of a low aspect ratio feature and a high aspect ratio feature, wherein the oxide layer on the bottom of the low aspect ratio feature is thicker than on the bottom of the high aspect ratio feature; etching the oxide layer from the bottom of the low and high aspect ratio features with a third plasma to expose the bottom of the high aspect ratio feature while the bottom of the low aspect ratio feature remains covered; and exposing the substrate to a fourth plasma formed from a halogen-containing gas to etch the bottom of the low aspect ratio feature and the high aspect ratio feature.Type: ApplicationFiled: November 5, 2013Publication date: March 5, 2015Applicant: APPLIED MATERIALS, INC.Inventors: Jinsu KIM, Xiaosong JI, Jinhan CHOI, Ho Jeong KIM, Byungkook KONG, Hoon Sang LEE
-
Publication number: 20150061086Abstract: A semiconductor template having a top surface aligned along a (100) crystallographic orientation plane and an inverted pyramidal cavity defined by a plurality of walls aligned along a (111) crystallographic orientation plane. A method for manufacturing a semiconductor template by selectively removing silicon material from a silicon template to form a top surface aligned along a (100) crystallographic plane of the silicon template and a plurality of walls defining an inverted pyramidal cavity each aligned along a (111) crystallographic plane of the silicon template.Type: ApplicationFiled: March 3, 2014Publication date: March 5, 2015Applicant: SOLEXEL, INC.Inventors: David Xuan-Qi Wang, Mehrdad M. Moslehi
-
Publication number: 20150054135Abstract: The disclosure relates to a method for forming a nanoscale structure by forming a pattern on a selectively etched layer located on top of a substrate using lithography, wherein the pattern results a gap having sidewalls, performing RIE on the gap having sidewalls, wherein RIE results in the formation of a self-aligned mask on the bottom wall of the gap with unprotected regions on the bottom wall of the gap near the junctions with the sidewalls, and wet etching the gap having a self-aligned mask and unprotected regions to remove the substrate under the unprotected regions to form a nanoscale structure in the substrate. The disclosure also relates to a nanoscale structure array including a plurality of nanotrenches, nanochannels or nanofins having a width of 50 nm or less and an average variation in width of 5% or less along the entire length of each nanotrench, nanochannel or nanofin.Type: ApplicationFiled: August 22, 2014Publication date: February 26, 2015Applicant: BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEMInventors: Paul S. Ho, Zhuojie Wu
-
Publication number: 20150056815Abstract: A gas cluster ion beam (GCIB) etching method for adjusting a fin height in finFET devices is described. The method includes providing a substrate having a fin structure and a gap-fill material layer completely overlying the fin structure and filling the regions between each fin of the fin structure, wherein each fin includes a cap layer formed on a top surface thereof, and planarizing the gap-fill material layer until the cap layer is exposed on at least one fin of the fin structure. Additionally, the method includes setting a target fin height for the fin structure, wherein the fin height measured from an interface between the cap layer and the fin structure, and exposing the substrate to a GCIB and recessing the gap-fill material layer relative to the cap layer until the target fin height is substantially achieved.Type: ApplicationFiled: June 17, 2014Publication date: February 26, 2015Inventors: Luis FERNANDEZ, Edmund BURKE
-
Publication number: 20150021745Abstract: A method of reactive ion etching a substrate 46 to form at least a first and a second etched feature (42, 44) is disclosed. The first etched feature (42) has a greater aspect ratio (depth:width) than the second etched feature (44). In a first etching stage the substrate (46) is etched so as to etch only said first feature (42) to a predetermined depth. Thereafter in a second etching stage, the substrate (46) is etched so as to etch both said first and said second features (42, 44) to a respective depth. A mask (40) may be applied to define apertures corresponding in shape to the features (42, 44). The region of the substrate (46) in which the second etched feature (44) is to be produced is selectively masked with a second maskant (50) during the first etching stage, The second maskant (50) is then removed prior to the second etching stage.Type: ApplicationFiled: July 21, 2014Publication date: January 22, 2015Applicant: Atlantic lnertial Systems LimitedInventors: Tracey Hawke, Mark Venables, lan Sturland, Rebecka Eley
-
Publication number: 20150024604Abstract: A method of etching a silicon substrate, in which a depressed portion is formed by etching a first surface of the silicon substrate with ions generated in plasma, the method including introducing a rare gas into a reaction system to ionize the rare gas.Type: ApplicationFiled: July 2, 2014Publication date: January 22, 2015Inventors: Yoshinao Ogata, Masataka Kato, Masaya Uyama
-
Publication number: 20150024605Abstract: A substrate processing method for forming a through-hole in a substrate by reactive ion etching includes preparing a substrate that has a first surface and a second surface and on the first surface side of which a first layer and a second layer are disposed, the second surface being on the opposite side to the first surface, the second layer covering the first layer; and performing reactive ion etching on the substrate from the second surface to form a through-hole extending through the substrate from the first surface to the second surface, the reactive ion etching being performed to reach the first layer. The etching rate of the second layer for the reactive ion etching is lower than that of the first layer.Type: ApplicationFiled: July 14, 2014Publication date: January 22, 2015Inventors: Seiko Minami, Toshiyasu Sakai
-
Publication number: 20150017809Abstract: A method for etching features into an etch layer disposed below a patterned mask is provided. At least three cycles are provided, where each cycle comprises providing an ion bombardment, by creating a plasma, of the etch layer to create activated sites of surface radicals in parts of the etch layer exposed by the patterned mask, extinguishing the plasma, exposing the etch layer to a plurality of fluorocarbon containing molecules, which causes the fluorocarbon containing molecules to selectively bind to the activated sites, wherein the selective binding is self limiting, and providing an ion bombardment of the etch layer to initiate an etch reaction between the fluorocarbon containing molecule and the etch layer, wherein the ion bombardment of the etch layer to initiate an etch reaction causes the formation of volatile etch products formed from the etch layer and the fluorocarbon containing molecule.Type: ApplicationFiled: July 9, 2013Publication date: January 15, 2015Inventors: Ranadeep BHOWMICK, Eric A. HUDSON
-
Patent number: 8932956Abstract: A method for far back end of the line (FBEOL) protection of a semiconductor device includes forming a patterned layer over a back end of the line (BEOL) stack, depositing a first conformal protection layer on the patterned layer which covers horizontal surfaces of a top surface and sidewalls of openings formed in the patterned layer. A resist layer is patterned over the first conformal protection layer such that openings in the resist layer correspond with the openings in the patterned layer. The first conformal protection layer is etched through the openings in the resist layer to form extended openings that reach a stop position. The resist layer is removed, and a second conformal protection layer is formed on the first conformal protection layer and on sidewalls of the extended openings to form an encapsulation boundary to protect at least the patterned layer and a portion of the BEOL stack.Type: GrantFiled: December 4, 2012Date of Patent: January 13, 2015Assignee: International Business Machines CorporationInventors: Tymon Barwicz, Robert L. Bruce, Swetha Kamlapurkar
-
Patent number: 8932959Abstract: Etching of a thin film stack including a lower thin film layer containing an advanced memory material is carried out in an inductively coupled plasma reactor having a dielectric RF window without exposing the lower thin film layer, and then the etch process is completed in a toroidal source plasma reactor.Type: GrantFiled: March 6, 2013Date of Patent: January 13, 2015Assignee: Applied Materials, Inc.Inventors: Srinivas D. Nemani, Mang-mang Ling, Jeremiah T. Pender, Kartik Ramaswamy, Andrew Nguyen, Sergey G. Belostotskiy, Sumit Agarwal
-
Publication number: 20150011093Abstract: The disclosed embodiments relate to methods and apparatus for removing material from a substrate. In various implementations, conductive material is removed from a sidewall of a previously etched feature such as a trench, hole or pillar on a semiconductor substrate. In practicing the techniques herein, a substrate is provided in a reaction chamber that is divided into an upper plasma generation chamber and a lower processing chamber by a corrugated ion extractor plate with apertures therethrough. The extractor plate is corrugated such that the plasma sheath follows the shape of the extractor plate, such that ions enter the lower processing chamber at an angle relative to the substrate. As such, during processing, ions are able to penetrate into previously etched features and strike the substrate on the sidewalls of such features. Through this mechanism, the material on the sidewalls of the features may be removed.Type: ApplicationFiled: July 8, 2013Publication date: January 8, 2015Inventors: Harmeet Singh, Alex Paterson
-
Patent number: 8927433Abstract: Provided is a technology for forming a conductive via hole to implement a three dimensional stacked structure of an integrated circuit. A method for forming a conductive via hole according to an embodiment of the present invention comprises: filling inside of a via hole structure that is formed in one or more of an upper portion and a lower portion of a substrate with silver by using a reduction and precipitation of silver in order to connect a plurality of stacked substrates by a conductor; filling a portion that is not filled with silver inside of the via hole structure by flowing silver thereinto; and sublimating residual material of silver oxide series, which is generated during the flowing, on an upper layer inside of the via hole structure filled with silver.Type: GrantFiled: December 15, 2010Date of Patent: January 6, 2015Assignee: Electronics and Telecommunications Research InstituteInventor: Jin-Yeong Kang
-
Publication number: 20140370708Abstract: Methods for reducing the line width roughness on a photoresist pattern are provided herein. In some embodiments, a method of processing a patterned photoresist layer disposed atop a substrate includes flowing a process gas into a processing volume of a process chamber having the substrate disposed therein; forming a plasma within the process chamber from the process gas, wherein the plasma has a ion energy of about 1 eV to about 10 eV; and etching the patterned photoresist layer with species from the plasma to at least one of smooth a line width roughness of a sidewall of the patterned photoresist layer or remove debris.Type: ApplicationFiled: June 11, 2014Publication date: December 18, 2014Inventors: BANQIU WU, AJAY KUMAR, LEONID DORF, SHAHID RAUF, KARTIK RAMASWAMY, OMKARAM NALAMASU
-
Publication number: 20140363978Abstract: Beam-induced etching uses a work piece maintained at a temperature near the boiling point of a precursor material, but the temperature is sufficiently high to desorb reaction byproducts. In one embodiment, NF3 is used as a precursor gas for electron-beam induced etching of silicon at a temperature below room temperature.Type: ApplicationFiled: June 10, 2013Publication date: December 11, 2014Inventors: Aiden Martin, Milos Toth
-
Patent number: 8906810Abstract: An all-in-one trench-over-via etch wherein etching of a low-k material beneath a metal hard mask of titanium nitride containing material is carried out in alternating steps of (a) etching the low-k material while maintaining chuck temperature at about 45 to 80° C. and (b) metal hard mask rounding and Ti-based residues removal while maintaining chuck temperature at about 90 to 130° C.Type: GrantFiled: May 7, 2013Date of Patent: December 9, 2014Assignee: Lam Research CorporationInventors: Ananth Indrakanti, Bhaskar Nagabhirava, Alan Jensen, Tom Choi
-
Patent number: 8901935Abstract: Methods and systems for detecting a change in the state of plasma confinement within a capacitively coupled RF driven plasma processing chamber are disclosed. In one or more embodiments, the plasma unconfinement detection methods employ an analog or digital circuit that can actively poll the RF voltage at the powered electrode in the form of an Electrostatic Chuck (ESC) as well as the open loop response of the power supply (PSU) responsible for chucking a wafer to ESC. The circuit provides a means detecting both a change in RF voltage delivered to the ESC as well as a change in the open loop response of the PSU. By simultaneously monitoring these electrical signals, the disclosed algorithm can detect when plasma changes from a confined to an unconfined state.Type: GrantFiled: October 19, 2010Date of Patent: December 2, 2014Assignee: Lam Research CorporationInventors: John C. Valcore, Jr., James Rogers
-
Publication number: 20140349488Abstract: Disclosed is an etching gas provided containing CHF2COF. The etching gas may contain, as an additive, at least one kind of gas selected from O2, O3, CO, CO2, F2, NF3, Cl2, Br2, I2, XFn (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1?n?7.), CH4, CH3F, CH2F2, CHF3, N2, He, Ar, Ne, Kr and the like, from CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HI, HBr, HCl, CO, NO, NH3, H2 and the like, or from CH4, CH3F, CH2F2 and CHF3. This etching gas is not only excellent in etching performances such as the selection ratio to a resist and the patterning profile but also easily available and does not substantially by-produce CF4 that places a burden on the environment.Type: ApplicationFiled: August 8, 2014Publication date: November 27, 2014Inventors: Naoto TAKADA, Isamu MORI
-
Patent number: 8895421Abstract: A III-N device is described with a III-N layer, an electrode thereon, a passivation layer adjacent the III-N layer and electrode, a thick insulating layer adjacent the passivation layer and electrode, a high thermal conductivity carrier capable of transferring substantial heat away from the III-N device, and a bonding layer between the thick insulating layer and the carrier. The bonding layer attaches the thick insulating layer to the carrier. The thick insulating layer can have a precisely controlled thickness and be thermally conductive.Type: GrantFiled: December 11, 2013Date of Patent: November 25, 2014Assignee: Transphorm Inc.Inventors: Primit Parikh, Yuvaraj Dora, Yifeng Wu, Umesh Mishra, Nicholas Fichtenbaum, Rakesh K. Lal
-
Patent number: 8895340Abstract: A process for forming a carbon nanotube field effect transistor (CNTFET) device includes site-specific nanoparticle deposition on a CNTFET that has one or more carbon nanotubes, a source electrode, a drain electrode, and a sacrificial electrode on a substrate with an interposed dielectric layer. The process includes control of PMMA removal and electrodeposition in order to select nanoparticle size and deposition location down to singular nanoparticle deposition. The CNTFET device resulting in ultra-sensitivity for various bio-sensing applications, including detection of glucose at hypoglycemic levels.Type: GrantFiled: September 10, 2013Date of Patent: November 25, 2014Assignee: Georgetown UniversityInventors: Makarand Paranjape, Yian Liu
-
Patent number: 8853094Abstract: A method for manufacturing a semiconductor structure comprising complementary bipolar transistors, wherein for manufacture of a PNP-type structure, an emitter layer having a surface oxide layer is present on top of an NPN-type structure, the emitter layer comprising lateral and vertical surfaces, and wherein for removal of the oxide layer, an ion etching step is applied, wherein for the on etching step a plasma for providing ions is generated in a vacuum chamber by RF coupling and the generated ions are accelerated by an acceleration voltage between the plasma and a wafer comprising the semiconductor structure, and wherein the plasma generation and the ion acceleration are controlled independently from each other.Type: GrantFiled: February 21, 2012Date of Patent: October 7, 2014Assignee: Texas Instruments Deutschland GmbHInventors: Thomas Scharnagl, Berthold Staufer
-
Patent number: 8853095Abstract: A method of forming damascene vias or dual damascene wires. The method includes using a patterned two layer hard mask wherein the patterns in the lower and upper hard mask layers are formed using a reactive ion etch process. Openings are then formed in the interlevel dielectric layer under the two layer hard mask using a second reactive ion etch process which also removes and the upper hard mask layer. The lower hard mask layer is then removed with a wet etch. Further processing completes forming the damascene vias or dual damascene wires.Type: GrantFiled: May 30, 2013Date of Patent: October 7, 2014Assignee: International Business Machines CorporationInventors: James J. Kelly, Tuan Anh Vo
-
Patent number: 8847361Abstract: A system and method for a memory cell layout is disclosed. An embodiment comprises forming dummy layers and spacers along the sidewalls of the dummy layer. Once the spacers have been formed, the dummy layers may be removed and the spacers may be used as a mask. By using the spacers instead of a standard lithographic process, the inherent limitations of the lithographic process can be avoided and further scaling of FinFET devices can be achieved.Type: GrantFiled: June 14, 2013Date of Patent: September 30, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Jhon-Jhy Liaw, Chang-Yun Chang
-
Patent number: 8841217Abstract: In one implementation, a chemical sensor is described. The chemical sensor includes a chemically-sensitive field effect transistor including a floating gate conductor having an upper surface. A dielectric material defines an opening extending to the upper surface of the floating gate conductor. A conductive element on a sidewall of the opening and extending over an upper surface of the dielectric material.Type: GrantFiled: March 13, 2013Date of Patent: September 23, 2014Assignee: Life Technologies CorporationInventors: Keith Fife, James Bustillo, Jordan Owens
-
Patent number: 8835326Abstract: A chemical solution that removes undesired metal hard mask yet remains selective to the device wiring metallurgy and dielectric materials. The present invention decreases aspect ratio by selective removal of the metal hard mask before the metallization of the receiving structures without adverse damage to any existing metal or dielectric materials required to define the semiconductor device, e.g. copper metallurgy or device dielectric. Thus, an improved aspect ratio for metal fill without introducing any excessive trapezoidal cross-sectional character to the defined metal receiving structures of the device will result.Type: GrantFiled: January 4, 2012Date of Patent: September 16, 2014Assignee: International Business Machines CorporationInventors: John A. Fitzsimmons, Shyng-Tsong Chen, David L. Rath, Muthumanickam Sankarapandian, Oscar van der Straten
-
Publication number: 20140256148Abstract: Embodiments of the present disclosure relate to method and apparatus for providing processing gases to a process chamber with improved plasma dissociation efficiency. One embodiment of the present disclosure provides a baffle nozzle assembly comprising an outer body defining an extension volume connected to a processing chamber. A processing gas is flown to the processing chamber through the extension volume which is exposed to power source for plasma generation.Type: ApplicationFiled: May 21, 2014Publication date: September 11, 2014Inventors: Roy C. NANGOY, Saravjeet SINGH, Jon C. FARR, Sharma V. PAMARTHY, Ajay KUMAR
-
Patent number: 8828882Abstract: A trench is formed in a semiconductor substrate by depositing an etch mask on the substrate having an opening, etching of the trench through the opening, and doping the walls of the trench. The etching step includes a first phase having an etch power set to etch the substrate under the etch mask, and a second phase having an etch power set smaller than the power of the first phase. Further, the doping of the walls of the trench is applied through the opening of the etch mask.Type: GrantFiled: December 13, 2012Date of Patent: September 9, 2014Assignee: STMicroelectronics (Crolles 2) SASInventors: Arnaud Tournier, Françcois Leverd
-
Publication number: 20140227882Abstract: Deposits such as particles deposited on a surface of a target object can be easily removed while suppressing damage to the target object such as destruction of pattern formed on the surface of the target object or film roughness on the surface of the target object. In a pre-treatment, vapor of a hydrogen fluoride is supplied to a wafer W to dissolve a natural oxide film 11, so that a deposit 10 attached to a surface of the natural oxide film 11 is slightly separated from a surface of the wafer W. A carbon dioxide gas that does not react with an underlying film 12 is supplied to a processing gas atmosphere where the wafer W is placed, so that a gas cluster of the carbon dioxide gas is generated. Then, the gas cluster in a non-ionized state is irradiated toward the wafer W to remove the deposit 10.Type: ApplicationFiled: July 12, 2012Publication date: August 14, 2014Applicant: TOKYO ELECTRON LIMITEDInventors: Kensuke Inai, Kazuya Dobashi
-
Patent number: 8801944Abstract: A method for manufacturing a magnetic write pole of a magnetic write head that achieves improved write pole definition reduced manufacturing cost and improves ease of photoresist mask re-work. The method includes the use of a novel bi-layer hard mask beneath a photoresist mask. The bi-layer mask includes a layer of silicon dielectric, and a layer of carbon over the layer of silicon dielectric. The carbon layer acts as an anti-reflective coating layer that is unaffected by the photolithographic patterning process used to pattern the write pole and also acts as an adhesion layer for resist patterning. In the event that the photoresist patterning is not within specs and a mask re-work must be performed, the bi-layer mask can remain intact and need not be removed and re-deposited. In addition, the low cost and ease of use silicon dielectric and carbon reduce manufacturing cost and increase throughput.Type: GrantFiled: November 28, 2012Date of Patent: August 12, 2014Assignee: HGST Netherlands B.V.Inventors: Guomin Mao, Yi Zheng
-
Patent number: 8796152Abstract: A method for manufacturing a magnetic sensor that allows the sensor to be constructed with a very narrow track width and with smooth, well defined side walls. A tri-layer mask structure is deposited over a series of sensor layers. The tri-layer mask structure includes an under-layer, a Si containing hard mask deposited over the under-layer and a photoresist layer deposited over the Si containing hard mask. The photoresist layer is photolithographically patterned to define a photoresist mask. A first reactive ion etching is performed to transfer the image of the photoresist mask onto the Si containing hard mask. The first reactive ion etching is performed in a chemistry that includes CF4, CHF3, O2, and He. A second reactive ion etching is then performed in an oxygen chemistry to transfer the image of the Si containing hard mask onto the under-layer, and an ion milling is performed to define the sensor.Type: GrantFiled: December 13, 2012Date of Patent: August 5, 2014Assignee: HGST Netherlands B.V.Inventors: Guomin Mao, Aron Pentek, Thao Pham, Yi Zheng
-
Patent number: 8791028Abstract: According to one embodiment, a manufacturing method of a semiconductor device includes a step of forming a dummy-fin semiconductor on a semiconductor substrate; a step of forming an insulating layer, into which a lower part of the dummy-fin semiconductor is buried, on the semiconductor substrate; a step of forming a fin semiconductor, which is bonded to a side face at an upper part of the dummy-fin semiconductor, on the insulating layer; and a step of removing the dummy-fin semiconductor on the insulating layer with the fin semiconductor being left on the insulating layer.Type: GrantFiled: August 10, 2012Date of Patent: July 29, 2014Assignee: Kabushiki Kaisha ToshibaInventor: Kimitoshi Okano
-
Publication number: 20140206197Abstract: In fin FET fabrication, side walls of a semiconductor fin formed on a substrate have certain roughness. Using such fins having roughness may induce variations in characteristics between transistors due to their shapes or the like. An object of the present invention is to provide a fin FET fabrication method capable of improving device characteristic by easily reducing the roughness of the side walls of fins after formation. In one embodiment of the present invention, side walls of a semiconductor fin are etched by an ion beam extracted from a grid to reduce the roughness of the side walls.Type: ApplicationFiled: January 21, 2014Publication date: July 24, 2014Applicant: CANON ANELVA CORPORATIONInventors: Takashi NAKAGAWA, Masayoshi IKEDA, Yukito NAKAGAWA, Yasushi KAMIYA, Yoshimitsu KODAIRA
-
Patent number: 8785330Abstract: A method for producing a structure including an active part with a first and a second suspended zone. The method includes machining the front face of a first substrate to define the lateral contours of at least one first suspended zone according to a first thickness less than that of the first substrate forming a stop layer of etching of the first suspended zone under the suspended zone, forming on the front face of the first substrate a sacrificial layer, machining from the rear face of the first substrate up to releasing the sacrificial layer to form at least one second suspended zone to reach the stop layer of the first suspended zone, and releasing the first and second suspended zones.Type: GrantFiled: November 21, 2012Date of Patent: July 22, 2014Assignee: Commissariat a l'energie atomique et aux energies alternativesInventors: Philippe Robert, Sophie Giroud
-
Publication number: 20140197130Abstract: A method for manufacturing a plurality of nanowires, the method including: providing a carrier comprising an exposed surface of a material to be processed and applying a plasma treatment on the exposed surface of the material to be processed to thereby form a plurality of nanowires from the material to be processed during the plasma treatment.Type: ApplicationFiled: January 11, 2013Publication date: July 17, 2014Applicant: INFINEON TECHNOLOGIES AGInventors: Marko Lemke, Stefan Tegen, Uwe Rudolph
-
Patent number: 8778198Abstract: A method for manufacturing a magnetic sensor using an electrical lapping guide deposited and patterned simultaneously with a hard bias structure of the sensor material. The method includes depositing a sensor material, and patterning and ion milling the sensor material to define a track width of the sensor. A magnetic, hard bias material is then deposited and a second patterning and ion milling process is performed to simultaneously define the back edge of an electrical lapping guide and a back edge of the sensor.Type: GrantFiled: June 29, 2011Date of Patent: July 15, 2014Assignee: HGST Netherlands B.V.Inventors: Quang Le, Shin Funada, Jui-Lung Li
-
Publication number: 20140193978Abstract: A method of operating a plasma processing device includes outputting a first RF power having a first frequency and a first duty ratio, and outputting a second RF power having a second frequency higher than the first frequency and a second duty ratio smaller than the first duty ratio. The outputting of the first RF power and the outputting of the second RF power are synchronized with each other.Type: ApplicationFiled: September 9, 2013Publication date: July 10, 2014Inventors: Jung Hyun CHO, Hyung Joon KIM, Sang Jean JEON, Sang Heon LEE, Jeong Yun LEE, Kyung Yub JEON, Vasily PASHKOVSKIY
-
Patent number: 8772171Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.Type: GrantFiled: October 31, 2012Date of Patent: July 8, 2014Assignee: Lam Research CorporationInventor: Dean J. Larson
-
Patent number: 8764952Abstract: In a method of irradiating a gas cluster ion beam on a solid surface and smoothing the solid surface, the angle formed between the solid surface and the gas cluster ion beam is chosen to be between 1° and an angle less than 30°. In case the solid surface is relatively rough, the processing efficiency is raised by first irradiating a beam at an irradiation angle ? chosen to be something like 90° as a first step, and subsequently at an irradiation angle ? chosen to be 1° to less than 30° as a second step. Alternatively, the set of the aforementioned first step and second step is repeated several times.Type: GrantFiled: September 29, 2004Date of Patent: July 1, 2014Assignee: Japan Aviation Electronics Industry LimitedInventors: Akinobu Sato, Akiko Suzuki, Emmanuel Bourelle, Jiro Matsuo, Toshio Seki, Takaaki Aoki
-
Patent number: 8759214Abstract: A method for anisotropically plasma etching a semiconductor wafer is disclosed. The method comprises supporting a wafer in an environment operative to form a plasma, such as a plasma reactor, and providing an etching mixture to the environment. The etching mixture comprises at least one etch component, at least one passivation component, and at least one passivation material removal component.Type: GrantFiled: February 13, 2009Date of Patent: June 24, 2014Assignee: Radiation Watch LimitedInventor: Russell Morgan
-
Patent number: 8741165Abstract: An apparatus for etching a dielectric layer contained by a substrate is provided. An etch reactor comprises a top electrode and a bottom electrode. An etch gas source supplies an etch gas into the etch reactor. A first Radio Frequency (RF) source generates a first RF power with a first frequency and supplies the first RF power into the etch reactor, whereas the first frequency is between 100 kilo Hertz (kHz) and 600 kHz. A second RF source generates a second RF power with a second frequency and supplies the second RF power into the etch reactor, whereas the second frequency is at least 10 mega Hertz (MHz).Type: GrantFiled: October 7, 2010Date of Patent: June 3, 2014Assignee: Lam Research CorporationInventors: Bing Ji, Erik A. Edelberg, Takumi Yanagawa
-
Publication number: 20140148014Abstract: A substrate processing apparatus and method includes a chamber, a remote plasma source outside the chamber to provide activated ammonia and activated hydrogen fluoride into the chamber, and a direct plasma source to provide ion energy to a substrate inside the chamber. The plasma source includes ground electrodes extending in a first direction on a first plane perpendicularly spaced apart from a plane on which the substrate is disposed and defined by the first direction and a second direction perpendicular to the first direction and power electrodes disposed between the ground electrodes, extending in the first direction parallel to each other and receiving power from an RF power source to generate plasma between adjacent ground electrodes. The activated ammonia and the activated hydrogen fluoride are supplied on the substrate through a space between the power electrode and the ground electrode.Type: ApplicationFiled: November 18, 2013Publication date: May 29, 2014Applicant: KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCEInventors: ShinJae You, Jung-Hyung Kim, Yong-Hyung Shin, Dae-Jin Seong, Daewoong Kim
-
Patent number: 8735302Abstract: Metal gate high-k capacitor structures with lithography patterning are used to extract gate work function using a combinatorial workflow. Oxide terracing, together with high productivity combinatorial process flow for metal deposition can provide optimum high-k gate dielectric and metal gate solutions for high performance logic transistors. The high productivity combinatorial technique can provide an evaluation of effective work function for given high-k dielectric metal gate stacks for PMOS and NMOS transistors, which is critical in identifying and selecting the right materials.Type: GrantFiled: May 24, 2012Date of Patent: May 27, 2014Assignee: Intermolecular, Inc.Inventors: Amol Joshi, John Foster, Zhendong Hong, Olov Karlsson, Bei Li, Usha Raghuram
-
Publication number: 20140141620Abstract: A method for manufacturing a semiconductor device includes dry etching an interlayer insulating layer provided on a foundation layer by using a mask having a plurality of first openings and a plurality of second openings arranged more closely than the first openings to form simultaneously a first hole reaching the foundation layer under each of the first openings and a second hole reaching the foundation layer under the second openings. The first hole reaches the foundation layer without contacting any other first holes. After starting of the dry etching, a plurality of holes are formed under each of the plurality of second openings, and with the progress of the dry etching, the plurality of holes are connected with each other at least at their upper parts including their open ends to form the second hole having an opening area larger than an opening area of the first hole.Type: ApplicationFiled: November 19, 2013Publication date: May 22, 2014Applicant: Kabushiki Kaisha ToshibaInventor: Kenichi IDE
-
Patent number: 8728947Abstract: A method for opening a conformal layer at the bottom of a contact via on a substrate is described. The method includes providing a substrate having a first layer with a via pattern formed therein and a second layer conformally deposited on the first layer and within the via pattern to establish a contact via pattern characterized by an initial mid-critical dimension (CD). The method further includes etching through the second layer at the bottom of the contact via pattern to extend the contact via pattern through the second layer and form a contact via while retaining at least part of the second layer on the top surface of the first layer, the corner at the entrance to the via pattern, and the sidewalls of the via pattern, wherein the etching is performed by irradiating the substrate with a gas cluster ion beam (GCIB) according to a GCIB etching process.Type: GrantFiled: June 8, 2012Date of Patent: May 20, 2014Assignee: TEL Epion Inc.Inventors: Christopher K Olsen, Luis Fernandez
-
Patent number: 8709919Abstract: A method is for the synthesis of an array of metal nanowires (w) capable of supporting localized plasmon resonances. A metal film (M) deposited on a planar substrate (D) is irradiated with a defocused beam of noble gas ions (IB) under high vacuum, so that, with increasing ion doses a corrugation is produced on the metal film surface, formed by a mutually parallel nanoscale self-organized corrugations (r). Subsequently, the height of the self-organized corrugations peaks is increased relative to the valleys (t) interposed therebetween. Then the whole the metal film is eroded so as to expose the substrate at the valleys, and to mutually disconnect the self-organized corrugations, thereby generating the array of metal nanowires. Finally, the transversal cross-section of the nanowires is reduced in a controlled manner so as to adjust the localized plasmon resonances wavelength which can be associated thereto. The nanowires array constitutes an electrode of an improved photonic device.Type: GrantFiled: March 6, 2009Date of Patent: April 29, 2014Assignee: Universita' Degli Studi di GenovaInventors: Francesco Buatier De Mongeot, Corrado Boragno, Ugo Valbusa, Daniele Chiappe, Andrea Toma
-
Patent number: 8696919Abstract: A method for manufacturing a nozzle and an associated funnel in a single plate comprises providing the single plate, the plate being etchable; providing an etch resistant mask on the plate, the mask having a pattern, wherein the pattern comprises a first pattern part for etching the nozzle and a second pattern part for etching the funnel; covering one of the first pattern part and the second pattern part using a first cover; etching one of the nozzle and funnel corresponding to the pattern part not covered in step (c); removing the first cover; etching the other one of the nozzle and funnel; and removing the etch resistant mask.Type: GrantFiled: November 16, 2012Date of Patent: April 15, 2014Assignee: Oce-Technologies B.V.Inventors: René J. Van Der Meer, Hubertus M. J. M. Boesten, Maarten J. Bakker, David D. L. Wijngaards
-
Patent number: 8691700Abstract: A method of etching a substrate is described. In one embodiment, the method includes preparing a mask layer having a pattern formed therein on or above at least a portion of a substrate, etching a feature pattern into the substrate from the pattern in the mask layer using a gas cluster ion beam (GCIB), and controlling a sidewall profile of the feature pattern etched into the substrate by adjusting a beam divergence of the GCIB.Type: GrantFiled: September 1, 2011Date of Patent: April 8, 2014Assignee: TEL Epion Inc.Inventors: John J. Hautala, Michael Graf
-
Patent number: 8685860Abstract: A semiconductor structure and a manufacturing method thereof are provided. The method includes the following steps. Firstly, a semiconductor substrate having an active surface and a back surface is provided. The active surface is opposite to the back surface, and the semiconductor substrate includes at least one grounding pad disposed on the active surface. Secondly, at least one through silicon via is formed through the semiconductor substrate from the back surface to the active surface thus exposing the grounding pad. Then, a conductive layer is formed on the back surface of the semiconductor substrate and filled into the through silicon via to electrically connect to the grounding pad and the semiconductor substrate.Type: GrantFiled: October 18, 2012Date of Patent: April 1, 2014Assignee: Ineffable Cellular Limited Liability CompanyInventor: Wen-Hsiung Chang
-
Patent number: 8664122Abstract: The present invention discloses a method of fabricating a semiconductor device. In the present invention, after the formation of a photo-resist mask on a substrate, the photo-resist is subjected to a plasma pre-treatment, and then etch is conducted. With the plasma pre-treatment, a line width roughness of a linear pattern of the photo-resist can be improved, and thus much better linear patterns can be formed on the substrate during the subsequent etching steps.Type: GrantFiled: December 2, 2011Date of Patent: March 4, 2014Assignee: Semiconductor Manufacturing International (Beijing) CorporationInventors: Minda Hu, Dongjiang Wang, Haiyang Zhang
-
Patent number: 8658539Abstract: A FinFET device may include a first semiconductor fin laterally adjacent a second semiconductor fin. The first semiconductor fin and the second semiconductor fin may have profiles to minimize defects and deformation. The first semiconductor fin comprises an upper portion and a lower portion. The lower portion of the first semiconductor fin may have a flared profile that is wider at the bottom than the upper portion of the first semiconductor fin. The second semiconductor fin comprises an upper portion and a lower portion. The lower portion of the second semiconductor fin may have a flared profile that is wider than the upper portion of the second semiconductor fin, but less than the lower portion of the first semiconductor fin.Type: GrantFiled: July 15, 2013Date of Patent: February 25, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chia-Wei Chang, Chih-Fang Liu, Chih-Tang Peng, Tai-Chun Huang, Ryan Chia-Jen Chen
-
Patent number: 8658048Abstract: The present invention aims to prevent decreases in etching rate due to adhesion of an etched film to a substrate holder. A method of manufacturing a magnetic recording medium includes: forming a first film on a substrate holder not yet having a substrate mounted thereon; mounting a substrate on the substrate holder having the first film formed thereon, the substrate having a resist layer formed on a multilayer film including a magnetic film layer, the resist layer having a predetermined pattern; and processing the magnetic film layer into a shape based on the predetermined pattern by performing dry etching on the substrate. The first film is a film that is not etched as easily as the films in the multilayer film to be removed by the dry etching.Type: GrantFiled: October 31, 2011Date of Patent: February 25, 2014Assignee: Canon Anelva CorporationInventors: Kazuto Yamanaka, Shogo Hiramatsu