Reactive Ion Beam Etching (i.e., Ribe) Patents (Class 438/712)
  • Publication number: 20110230047
    Abstract: In some embodiments, a method of etching an organosiloxane dielectric material can include: (a) providing the organosiloxane dielectric material; (b) providing a patterned mask over the organosiloxane dielectric material; and (c) reactive ion etching the organosiloxane dielectric material. Other embodiments are disclosed in this application.
    Type: Application
    Filed: May 27, 2011
    Publication date: September 22, 2011
    Applicant: Arizona Board of Regents, for and on behalf of Arizona State University
    Inventor: MICHAEL MARRS
  • Patent number: 8012365
    Abstract: A method of anisotropic plasma etching of a silicon wafer, maintained at a temperature from ?40° C. to ?120° C., comprising alternated and repeated steps of: etching with injection of a fluorinated gas, into the plasma reactor, and passivation with injection of silicon tetrafluoride, SiF4, and of oxygen into the plasma reactor, the flow rate of the gases in the plasma reactor being on the order of from 10% to 25% of the gas flow rate during the etch step.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: September 6, 2011
    Assignee: STMicroelectronics, SA
    Inventors: Remi Dussart, Philippe Lefaucheux, Xavier Mellhaoui, Lawrence John Overzet, Pierre Ranson, Thomas Tillocher, Mohamed Boufnichel
  • Patent number: 8003551
    Abstract: The present invention provides means and methods for producing surface-activated semiconductor nanoparticles suitable for in vitro and in vivo applications that can fluoresce in response to light excitation. Semiconductor nanostructures can be produced by generating a porous layer in semiconductor substrate comprising a network of nanostructures. Prior or subsequent to cleavage from the substrate, the nanostructures can be activated by an activation means such as exposing their surfaces to a plasma, oxidation or ion implantation. In some embodiments, the surface activation renders the nanostructures more hydrophilic, thereby facilitating functionalization of the nanoparticles for either in vitro or in vivo use.
    Type: Grant
    Filed: April 27, 2009
    Date of Patent: August 23, 2011
    Assignee: Spire Corporation
    Inventors: Nader Montazernezam Kalkhoran, James G. Moe, Kurt J. Linden, Marisa Sambito
  • Patent number: 7998871
    Abstract: Methods of forming a mask for implanting a substrate and implanting using an implant stopping layer with a photoresist provide lower aspect ratio masks that cause minimal damage to trench isolations in the substrate during removal of the mask. In one embodiment, a method of forming a mask includes: depositing an implant stopping layer over the substrate; depositing a photoresist over the implant stopping layer, the implant stopping layer having a density greater than the photoresist; forming a pattern in the photoresist by removing a portion of the photoresist to expose the implant stopping layer; and transferring the pattern into the implant stopping layer by etching to form the mask. The implant stopping layer may include: hydrogenated germanium carbide, nitrogenated germanium carbide, fluorinated germanium carbide, and/or amorphous germanium carbon hydride (GeHX), where X includes carbon. The methods/mask reduce scattering during implanting because the mask has higher density than conventional masks.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Todd C. Bailey, Richard A. Conti, Ryan P. Deschner
  • Patent number: 7998876
    Abstract: A method of producing a semiconductor element includes the steps of forming a wiring portion layer on a substrate; forming an interlayer insulation layer over the substrate and the wiring portion layer, in which a third insulation film, a second insulation film, and a first insulation film are laminated in this order from the substrate; forming a mask pattern on the first insulation film; removing a contact hole forming area of the first insulation film through a wet etching process; removing a contact hole forming area of the second insulation film through an etching process; removing a contact hole forming area of the third insulation film through an etching process; and a contact hole forming step of forming a contact hole in the interlayer insulation layer so that a surface of the wiring portion layer is exposed.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: August 16, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Toshiyuki Orita
  • Patent number: 7993813
    Abstract: A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: August 9, 2011
    Assignee: NexGen Semi Holding, Inc.
    Inventors: Jeffrey Scott, Michael Zani, Mark Bennahmias, Mark Mayse
  • Patent number: 7989352
    Abstract: By forming a conductive material within an etch mask for an anisotropic etch process for patterning openings, such as vias, in a dielectric layer of a metallization structure, the probability for arcing events may be reduced, since excess charge may be laterally distributed. For example, an additional sacrificial conductive layer may be formed or an anti-reflecting coating (ARC) may be provided in the form of a conductive material in order to obtain the lateral charge distribution.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: August 2, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Feustel, Kai Frohberg, Thomas Werner
  • Patent number: 7989282
    Abstract: A method and structure for preventing latchup. The structure includes a latchup sensitive structure and a through wafer via structure bounding the latch-up sensitive structure to prevent parasitic carriers from being injected into the latch-up sensitive structure.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: August 2, 2011
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Publication number: 20110174799
    Abstract: A micro-hotplate is provided in the form of a device comprising a sensor and one or more resistive heaters within the micro-hotplate arranged to heat the sensor. Furthermore a controller is provided for applying a bidirectional drive current to at least one of the heaters to reduce electromigration. The controller also serves to drive the heater at a substantially constant temperature. Such an arrangement is advantageous over an arrangement in which a unidirectional DC drive current is applied to the heater. This is because the unidirectional drive current causes electromigration which results in an increase in resistance over time. This is undesirable because it can lead to failure of the micro-hotplate. In contrast, the application of the bidirectional current reduces electromigration and as a result there is insignificant change in the resistance of the heater over time and under high temperature.
    Type: Application
    Filed: January 21, 2010
    Publication date: July 21, 2011
    Inventors: Syed Zeeshan ALI, Florin Udrea, Julian William Gardner
  • Patent number: 7981806
    Abstract: A method for forming a trench includes providing a substrate, and forming the trench in the substrate using a gas containing chlorine (Cl2) gas as a main etch gas and SiFX gas as an additive gas, wherein a sidewall of the trench has a substantially vertical profile by virtue of reaction of the Cl2 gas and the SiFX gas.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: July 19, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7981804
    Abstract: A method of forming a metal interconnection that has a favorable cross-sectional shape is provided without the fear of side etching, even in a sparse arrangement of metal interconnections. The method, the following structure is employed. A region for placing a dummy metal interconnection is provided close to a region in which a metal interconnection is formed. A trench is formed in the dummy metal interconnection region and a resist pattern for the metal interconnection is then formed, giving the resist above the trench a large surface area per unit area. The metal interconnection is subsequently formed by dry etching in which an organic component from the resist above the trench forms a solid sidewall protection film, permitting anisotropic etching. The metal interconnection can thus have a favorable cross-sectional shape.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: July 19, 2011
    Assignee: Seiko Instruments Inc.
    Inventor: Michihiro Murata
  • Patent number: 7981799
    Abstract: The present invention relates to a room temperature-operating single-electron device and a fabrication method thereof, and more particularly, to a room temperature-operating single-electron device in which a plurality of metal silicide dots formed serially is used as multiple quantum dots, and a fabrication method thereof.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: July 19, 2011
    Assignee: Chungbuk National University Industry-Academic Cooperation Foundation
    Inventors: Jung Bum Choi, Chang Keun Lee, Min Sik Kim
  • Patent number: 7981805
    Abstract: The present invention provides a method for manufacturing a resistance change element that can reduce occurrence of corrosion without increasing a substrate temperature. A laminate film that includes a high melting-point metal film and a metal oxide film, is etched using a mask under a plasma atmosphere formed using any one of a mixture gas formed by adding at least one gas selected from the group consisting of Ar, He, Xe, Ne, Kr, O2, O3, N2, H2O, N2O, NO2, CO and CO2 to at least one kind of gasified compound selected from alcohol and hydrocarbon or the gas compound.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: July 19, 2011
    Assignee: Canon Anelva Corporation
    Inventors: Yoshimitsu Kodaira, Tomoaki Osada, Sanjay Shinde
  • Patent number: 7977129
    Abstract: A method for manufacturing a semiconductor optical device having an optical grating, includes the steps of: forming a semiconductor layer, an insulating layer and a first resin layer not containing silicon (Si); forming a second resin layer containing silicon (Si) on the first resin layer wherein the second resin layer has a pattern corresponding to the optical grating; etching the first resin layer using the second resin layer as a mask by a reactive ion etching that uses a mixed gas of oxygen and nitrogen where the first resin layer is cooled downto a first temperature during etching to form a protective layer on a side face of the etched first resin layer; increasing the temperature of the first resin layer upto a second temperature higher than the first temperature; etching the insulating layer using the patterned first resin layer as a mask; and forming the optical grating on the semiconductor layer by etching the semiconductor layer using the patterned insulating layer as a mask.
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: July 12, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Yukihiro Tsuji
  • Publication number: 20110162702
    Abstract: A method of texturing a surface of a substrate utilizing a phase-segregated mask and etching is disclosed. The resulting textured surface, which can be used as a component of a solar cell includes, in one embodiment, a randomly mixed collection of flat-topped and angled surfaces providing local high points and local low points. The flat-topped surfaces have an areal density of at least 1%, and the high points are coincident with the flat-topped surfaces. Moreover, a preponderance of said low points are approximately situated in a single common plane parallel to the plane defined by the flat-topped surfaces.
    Type: Application
    Filed: January 6, 2010
    Publication date: July 7, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Roy A. Carruthers, Keith E. Fogel, Daniel A. Inns, Katherine L. Saenger
  • Patent number: 7972980
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) includes: introducing a nitrogen- and hydrogen-containing reactive gas and a rare gas into a reaction space inside which a semiconductor substrate is placed; applying RF power to the reaction space; and introducing a hydrogen-containing silicon precursor as a first precursor and a hydrocarbon gas as a second precursor in pulses into the reaction space wherein a plasma is excited, thereby forming a conformal dielectric film doped with carbon and having Si—N bonds on the substrate.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: July 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Woo Jin Lee, Akira Shimizu
  • Patent number: 7972879
    Abstract: A laser and electroabsorption modulator (EAM) are monolithically integrated through an etched facet process. Epitaxial layers on a wafer include a first layer for a laser structure and a second layer for an EAM structure. Strong optical coupling between the laser and the EAM is realized by using two 45-degree turning mirrors to route light vertically from the laser waveguide to the EAM waveguide. A directional angled etch process is used to form the two angled facets.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: July 5, 2011
    Assignee: Binoptics Corporation
    Inventors: Alex A. Behfar, Malcolm R. Green, Alfred T. Schremer
  • Publication number: 20110151673
    Abstract: A plasma etching method capable of oblique etching with a high aspect ratio and high uniformity is provided. In the plasma etching method, a base body is etched with a high aspect ratio by the following process: An electric-field control device having an ion-introducing orifice penetrating therethrough in a direction inclined from the normal to the surface of a base body is placed on or above the surface of this base body. Plasma is generated on the surface of the base body on or above which the electric-field control is placed. A potential difference is formed between the plasma and the base body so as to attract ions in the plasma toward the base body.
    Type: Application
    Filed: August 27, 2009
    Publication date: June 23, 2011
    Applicant: JAPAN SCIENCE AND TECHNOLOGY AGENCY
    Inventors: Susumu Noda, Shigeki Takahashi
  • Patent number: 7964511
    Abstract: A plasma ashing method is used for removing a patterned resist film in a processing chamber after etching a portion of a low-k film from an object to be processed in the processing chamber by using the patterned resist film as a mask. The method includes a first step of supplying a reaction product removal gas including at least CO2 gas into the processing chamber, generating plasma of the reaction product removal gas by applying a high frequency power for the plasma generation, and removing reaction products deposited on an inner wall of the processing chamber; and a second step of supplying an ashing gas into the processing chamber, generating plasma of the ashing gas by applying a high frequency power for the plasma generation, and removing the resist film.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: June 21, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Naotsugu Hoshi
  • Patent number: 7951695
    Abstract: A semiconductor process and apparatus to provide a way to reduce plasma-induced damage by applying a patterned layer of photoresist (114) which includes resist openings formed (117) over the active circuit areas (13, 14) as well as additional resist openings (119) formed over inactive areas (15) in order to maintain the threshold coverage level to control the amount of resist coverage over a semiconductor structure so that the total amount of resist coverage is at or below a threshold coverage level. Where additional resist openings (119) are required in order to maintain the threshold coverage level, these openings may be used to create additional charge dissipation structures (e.g., 152) for use in manufacturing the final structure.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: May 31, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: David M. Schraub, Terry A. Breeden, James D. Legg, Mehul D. Shroff, Ruiqi Tian
  • Patent number: 7947548
    Abstract: A method includes forming elongate structures (5) on a first substrate (3), such that the material composition of each elongate structure (7) varies along its length so as to define first and second physically different sections in the elongate structures. First and second physically different devices (1, 2) are then defined in the elongate structures. Alternatively, the first and second physically different sections may be defined in the elongate structures after they have been fabricated. The elongate structures may be encapsulated and transferred to a second substrate (7). The invention provides an improved method for the formation of a circuit structure that requires first and second physically different devices (1,2) to be provided on a common substrate. In particular, only one transfer step is necessary.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: May 24, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Thomas Heinz-Helmut Altebaeumer, Stephen Day, Jonathan Heffernan
  • Patent number: 7947589
    Abstract: A semiconductor process and apparatus provide a FinFET device by forming a second single crystal semiconductor layer (19) that is isolated from an underlying first single crystal semiconductor layer (17) by a buried insulator layer (18); patterning and etching the second single crystal semiconductor layer (19) to form a single crystal mandrel (42) having vertical sidewalls; thermally oxidizing the vertical sidewalls of the single crystal mandrel to grow oxide spacers (52) having a substantially uniform thickness; selectively removing any remaining portion of the single crystal mandrel (42) while substantially retaining the oxide spacers (52); and selectively etching the first single crystal semiconductor layer (17) using the oxide spacers (52) to form one or more FinFET channel regions (92).
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: May 24, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ramachandran Muralidhar, Marwan H. Khater
  • Patent number: 7935638
    Abstract: Methods and structures for enhancing the homogeneity in a ratio of perimeter to surface area among heterogeneous features in different substrate regions. At least one shape on the substrate includes an added edge effective to reduce a difference in the perimeter-to-surface area ratio between the features in a first substrate region and features in a second substrate region. The improved homogeneity in the perimeter-to-surface area ratio reduces variations in a thickness of a conformal layer deposited across the features in the first and second substrate regions.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: May 3, 2011
    Assignee: International Business Machines Corporation
    Inventors: James A. Culp, John J. Ellis-Monaghan, Jeffrey P. Gambino, Kirk D. Peterson, Jed H. Rankin
  • Patent number: 7932106
    Abstract: The surface morphology of an LED light emitting surface is changed by applying a reactive ion etch (RIE) process to the light emitting surface. High aspect ratio, submicron roughness is formed on the light emitting surface by transferring a thin film metal hard-mask having submicron patterns to the surface prior to applying a reactive ion etch process. The submicron patterns in the metal hard-mask can be formed using a low cost, commercially available nano-patterned template which is transferred to the surface with the mask. After subsequently binding the mask to the surface, the template is removed and the RIE process is applied for time duration sufficient to change the morphology of the surface. The modified surface contains non-symmetric, submicron structures having high aspect ratio which increase the efficiency of the device.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: April 26, 2011
    Assignee: Cree, Inc.
    Inventor: Ting Li
  • Patent number: 7928013
    Abstract: A rework method of a gate insulating layer of a thin film transistor includes the following steps. First, a substrate including a silicon nitride layer, which serves as a gate insulating layer, disposed thereon. Subsequently, a first film removal process is performed to remove the silicon nitride layer. The first film removal process includes an inductively coupled plasma (ICP) etching process. The ICP etching process is carried out by introducing gases including sulfur hexafluoride and oxygen. The ICP etching process has an etching selectivity ratio of the silicon nitride layer to the substrate, which is substantially between 18 and 30.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: April 19, 2011
    Assignee: AU Optronics Corp.
    Inventors: Chia-Hsu Chang, Pei-Yu Chen
  • Publication number: 20110086488
    Abstract: A reactive ion etching (RIE) process comprising a chlorine source gas and an oxygen source gas with an atomic ratio of chlorine to oxygen in the plasma of at least 6 to 1 is used to etch chromium alloy films such as SiCr, SiCrC, SiCrO, SiCrCO, SiCrCN, SiCrON, SiCrCON, CrO, CrN, CrON, and NiCr for example. Additionally, a fluorine source may be added to the etch chemistry.
    Type: Application
    Filed: October 12, 2009
    Publication date: April 14, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Abbas ALI
  • Patent number: 7919416
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a semiconductor substrate by plasma enhanced chemical vapor deposition (PECVD) includes: introducing a nitrogen- and hydrogen-containing reactive gas and an additive gas into a reaction space inside which a semiconductor substrate is placed; applying RF power to the reaction space; and introducing a hydrogen-containing silicon precursor in pulses into the reaction space wherein a plasma is excited, thereby forming a conformal dielectric film having Si—N bonds on the substrate.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: April 5, 2011
    Assignee: ASM Japan K.K.
    Inventors: Woo-Jin Lee, Akira Shimizu, Atsuki Fukazawa
  • Patent number: 7919808
    Abstract: Embodiments relate to a flash memory device and a method of manufacturing a flash memory device, which may increase a coupling coefficient between a control gate and a floating gate by increasing a surface area of floating gate. In embodiments, a flash memory device may be formed by forming a photoresist pattern for forming a floating gate on a semiconductor substrate including an oxide film, a floating gate poly film, and a BARC (Bottom AntiReflect Coating), performing a first etching process using the photoresist pattern as a mask, to etch the floating gate poly film to a predetermined depth, depositing and forming a polymer to cover the photoresist pattern, forming spacers of the polymer at both sidewalls of the photoresist pattern, forming a second etching process using the spacers as a mask, to expose the oxide film, and removing the BARC, the photoresist pattern and the spacers by ashing and stripping.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: April 5, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jeong-Yel Jang
  • Publication number: 20110076853
    Abstract: A method of fabricating a wafer comprising MEMS devices comprises etching trenches or vias into the wafer using a deep reactive ion etching process wherein this process forms residual polymers on sidewalls of the trenches or vias. The wafer is exposed to a dry-cleaning process wherein residual polymers are removed. The dry-cleaning process comprises hot oven baking, combustion, or laser beam illumination.
    Type: Application
    Filed: September 28, 2009
    Publication date: March 31, 2011
    Inventor: Guomin Mao
  • Publication number: 20110070742
    Abstract: Many current micromachining devices are integrated with materials such as very thick layer of polyimide (10 to 100 um) to offer essential characteristics and properties for various applications; it is inherently difficult and complicated to provide reliable metal interconnections between different levels of the circuits. The present invention is generally related to a novel micromachining process and structure to form metal interconnections in integrated circuits or micromachining devices which are incorporated with thick polyimide films. More particularly, the embodiments of the current invention relates to formation of multi-step staircase structure with tapered angle on polyimide layer, which is therefore capable of offering superb and reliable step coverage for metallization among different levels of integrated circuits, and especially for very thick polyimide layer applications.
    Type: Application
    Filed: September 18, 2009
    Publication date: March 24, 2011
    Applicant: SIARGO, LTD.
    Inventor: Chen Chih-Chang
  • Patent number: 7910479
    Abstract: A method for manufacturing a photodiode array includes providing a semiconductor substrate having first and second main surfaces opposite to each other. The semiconductor substrate has a first layer of a first conductivity proximate the first main surface and a second layer of a second conductivity proximate the second main surface. A via is formed in the substrate which extends to a first depth position relative to the first main surface. The via has a first aspect ratio. Generally simultaneously with forming the via, an isolation trench is formed in the substrate spaced apart from the via which extends to a second depth position relative to the first main surface. The isolation trench has a second aspect ratio different from the first aspect ratio.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: March 22, 2011
    Assignee: Icemos Technology Ltd.
    Inventors: Robin Wilson, Conor Brogan, Hugh J. Griffin, Cormac MacNamara
  • Publication number: 20110053361
    Abstract: A semiconductor process and apparatus provide a FinFET device by forming a second single crystal semiconductor layer (19) that is isolated from an underlying first single crystal semiconductor layer (17) by a buried insulator layer (18); patterning and etching the second single crystal semiconductor layer (19) to form a single crystal mandrel (42) having vertical sidewalls; thermally oxidizing the vertical sidewalls of the single crystal mandrel to grow oxide spacers (52) having a substantially uniform thickness; selectively removing any remaining portion of the single crystal mandrel (42) while substantially retaining the oxide spacers (52); and selectively etching the first single crystal semiconductor layer (17) using the oxide spacers (52) to form one or more FinFET channel regions (92).
    Type: Application
    Filed: September 2, 2009
    Publication date: March 3, 2011
    Inventors: Ramachandran Muralidhar, Marwan H. Khater
  • Patent number: 7897503
    Abstract: A device having the capability for electrical, thermal, optical, and fluidic interconnections to various layers. Through-substrate vias in the interconnect device are filled to enable electrical and thermal connection or optionally hermetically sealed relative to other surfaces to enable fluidic or optical connection. Optionally, optical components may be placed within the via region in order to manipulate optical signals. Redistribution of electrical interconnection is accomplished on both top and bottom surfaces of the substrate of the interconnect chip.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: March 1, 2011
    Assignee: The Board of Trustees of the University of Arkansas
    Inventors: Ron B. Foster, Ajay P. Malshe, Matthew W. Kelley
  • Patent number: 7892980
    Abstract: The present invention provides apparatus for controlling the operation of plasma etching a semiconductor substrate by an alternating etching method, the apparatus comprising: a process chamber (1) in which said substrate (2) is processed, means for generating a plasma (6); at least one first window (7) formed in a first wall (8) of said chamber (1) facing the surface (2a) to be etched of said substrate (2); at least one second window (10) formed in a second wall (11) of said chamber (1) lying in a plane different from said first wall (8); first means (18) coupled to said second window (10) to detect a light signal (17) relating to a selected wavelength emitted by said plasma (6); means (13, 15) for emitting a monochromatic light signal (14) through said first window (7) towards said surface (2a) in a direction (9) substantially perpendicular to said surface (2a) in such a manner that said incident signal (14a) is reflected on said surface (2a); second means (16) for detecting said reflected signal (14b); a
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: February 22, 2011
    Inventors: Michel Puech, Nicolas Launay
  • Publication number: 20110027999
    Abstract: The present invention provides a method for etching a substrate in the manufacture of a semiconductor device, the method comprising contacting a surface of the substrate with ions extracted from a plasma formed from a gas comprising one or more of an oxygen-containing species, a nitrogen-containing species and an inert gas, and separately contacting the surface of the substrate with a plasma formed from a gas comprising a fluorine-containing species.
    Type: Application
    Filed: August 16, 2006
    Publication date: February 3, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Terry G. Sparks, Rauf Shahid
  • Patent number: 7880204
    Abstract: A Silicon photodetector contains an insulating substrate having a top surface and a bottom surface. A Silicon layer is located on the top surface of the insulating substrate, where the Silicon layer contains a center region, the center region being larger in thickness than the rest of the Silicon layer. A top Silicon dioxide layer is located on a top surface of the center region. A left wing of the center region and a right wing of the center region are doped. The Silicon photodetector also has an active region located within the center region, where the active region contains a tailored crystal defect-impurity combination and Oxygen atoms.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: February 1, 2011
    Assignee: Massachusetts Institute of Technology
    Inventors: Michael W. Geis, Steven J. Spector, Donna M. Lennon, Matthew E. Grein, Robert T. Schulein, Jung U. Yoon, Franz Xaver Kaertner, Fuwan Gan, Theodore M. Lyszczarz
  • Patent number: 7855151
    Abstract: A slot is formed that reaches through a first side of a silicon substrate to a second side of the silicon substrate. A trench is laser patterned. The trench has a mouth at the first side of the silicon substrate. The trench does not reach the second side of the silicon substrate. The trench is dry etched until a depth of at least a portion of the trench is extended approximately to the second side of the silicon substrate (12). A wet etch is performed to complete formation of the slot. The wet etch etches silicon from all surfaces of the trench.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: December 21, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Swaroop K. Kommera, Siddhartha Bhowmik, Richard J. Oram, Sriram Ramamoorthi, David M. Braun
  • Patent number: 7855150
    Abstract: A method and a plasma system are provided for anisotropically etching structures into a substrate positioned in an etching chamber, e.g., structures defined using an etching mask in a silicon substrate, using a plasma. For this purpose, the etching chamber is supplied at least intermittently with an etching gas and at least intermittently with a passivation gas, the passivation gas being supplied to the etching chamber in cycles having a time period between 0.05 second and 1 second. In the plasma system, in addition to a plasma source, via which the plasma acting on the substrate may be produced, an arrangement is provided for at least temporary supply of the etching gas and at least temporary supply of the passivation gas to the etching chamber, which arrangement is designed in such a way that the passivation gas may be supplied to the etching chamber in cycles having a time period between 0.05 second and 1 second.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: December 21, 2010
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Andrea Urban
  • Patent number: 7829446
    Abstract: A method for dividing a wafer into a plurality of chips is provided. The method includes providing recesses in a surface of the wafer at positions along boundaries between regions to become the individual chips, providing fragile portions having a predetermined width inside the wafer at positions along the boundaries by irradiation of the other surface of the wafer with a laser beam whose condensing point is placed inside the wafer, the fragile portions including connected portions at least at one of the surfaces of the wafer, and dividing the wafer at the fragile portions into the individual chips by applying an external force to the wafer.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: November 9, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Wataru Takahashi, Yoshinao Miyata, Kazushige Umetsu, Yutaka Yamazaki
  • Patent number: 7829470
    Abstract: A contact hole, after hole etching, is subjected to light etching using a process gas containing a fluorocarbon-based gas and oxygen, with the oxygen being enriched, under condition without applying bias. Then, reaction products (5) having C—F bond and adhered to an interior of a hole (3) are removed using plasma treatment. After that, deposits (4) that have been left at a hole bottom are removed by wet processing. Then, a conductive material is buried in the hole to form a contact plug (7).
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: November 9, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Tomohiko Doi
  • Publication number: 20100278368
    Abstract: An acoustic device includes a transducer formed on a first surface of a substrate and an acoustic horn formed in the substrate by a dry-etching process through an opposing second surface of the substrate. The acoustic horn is positioned to amplify sound waves from the transducer and defines a non-linear cross-sectional profile.
    Type: Application
    Filed: May 1, 2009
    Publication date: November 4, 2010
    Applicant: Avago Technologies Wireless IP (Singapore) Pte. Ltd.
    Inventors: David MARTIN, Joel PHILLIBER, John CHOY
  • Patent number: 7816253
    Abstract: When an interconnect structure is built on porous ultra low k (ULK) material, the bottom of the trench and/or via is usually damaged by a following metallization process which may be suitable for dense higher dielectric materials. Embodiment of the present invention may provide a method of forming an interconnect structure on an inter-layer dielectric (ILD) material. The method includes steps of treating an exposed area of said ILD material to create a densified area, and metallizing said densified area.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Shyng-Tsong Chen, Qinghuang Lin, Kelly Malone, Sanjay Mehta, Terry A. Spooner, Chih-Chao Yang
  • Patent number: 7816272
    Abstract: A process of cleaning a semiconductor manufacturing system, and a method of manufacturing a semiconductor device. The cleaning process includes, for example, positioning a ceramic cover on the electrostatic chuck in tight contact with the chuck, and feeding a fluoride-based cleaning gas into a chamber. After the cleaning process, a process of forming a semiconductor film (deposition process) is performed. It is possible to prevent fluorine degasification from a substrate-supporting electrode (electrostatic chuck) during the deposition process. A semiconductor film can be formed without causing a temperature drop near the substrate. This prevents irregular film thickness, defective etching, film flaking, etc.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: October 19, 2010
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hiroomi Tsutae
  • Publication number: 20100258873
    Abstract: A semiconductor device includes a first contact formed so as to be connected to the first impurity-diffused region, but not to the first gate electrode; and a second contact formed so as to be connected commonly to the second gate electrode and the second impurity-diffused region, wherein each of the first contact and the second contact has a profile such that the taper angle changes at an intermediate position in the depth-wise direction from the surface of an insulating film towards a substrate, and the intermediate position where the taper angle changes resides more closer to the substrate in the second contact, than in the first contact.
    Type: Application
    Filed: April 8, 2010
    Publication date: October 14, 2010
    Applicants: NEC ELECTRONICS CORPORATION, KABUSHIKI KAISHA TOSHIBA
    Inventors: KEIICHI HARASHIMA, Hiroyuki Maeda
  • Patent number: 7811912
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a first insulation layer on a substrate; forming a damascene pattern in the first insulation layer; conducting a first process for forming metal lines in the damascene pattern; conducting a second process for forming a second insulation layer, having compressive stress greater than tensile stress of the metal lines, on the damascene pattern including the metal lines; forming a passivation layer on the substrate after multi-layered metal lines are formed by the first and second processes; and conducting an annealing process for the substrate including the passivation layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: October 12, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Geun Jang
  • Patent number: 7807581
    Abstract: The present invention provides a plasma processing apparatus or a plasma processing method that can etch a multilayer film structure for constituting a gate structure with high accuracy and high efficiency. A plasma processing method of, on processing a sample on a sample stage 112 in a depressurized discharge room 117, etching a multilayer film (including a high-k and a metal gate) at 0.1 Pa or less and with the sample stage 112 temperature-regulated by using a pressure gauge 133 to be used for pressure regulation and connected to the processing room and a main pump for exhaustion 130.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: October 5, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Susumu Tauchi, Akitaka Makino, Seiichi Watanabe, Naoki Yasui
  • Patent number: 7807064
    Abstract: In one embodiment of the present invention, a halogen-free plasma etch processes is used to define a feature in a multi-layered masking stack including an amorphous carbon layer. In a particular embodiment, oxygen (O2), nitrogen (N2), and carbon monoxide (CO) are utilized to etch the amorphous carbon layer to form a mask capable of producing sub-100 nm features in a substrate film having a reduced line edge roughness value. In another embodiment, the present invention employs an O2 plasma pretreatment preceding the halogen-free amorphous carbon etch to first form an oxidized silicon region in a patterned photoresist layer to increase the selectivity of the amorphous carbon etch relative to a patterned photoresist layer containing unoxidized silicon.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Jong Mun Kim, Judy Wang, Ajey M. Joshi, Jingbao Liu, Bryan Y. Pu
  • Patent number: 7807582
    Abstract: The present invention is generally directed to a method of forming contacts for a memory device. In one illustrative embodiment, the method includes forming a layer of insulating material above an active area of a dual bit memory cell, forming a hard mask layer above the layer of insulating material, the hard mask layer having an original thickness, performing at least two partial etching processes on the hard mask layer to thereby define a patterned hard mask layer above the layer of insulating material, wherein each of the partial etching processes is designed to etch through less than the original thickness of the hard mask layer, the hard mask layer having openings formed therein that correspond to a digitline contact and a plurality of storage node contacts for the dual bit memory cell, and performing at least one etching process to form openings in the layer of insulating material for the digitline contact and the plurality of storage node contacts using the patterned hard mask layer as an etch mask.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: October 5, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Jonathan Doebler
  • Patent number: 7803714
    Abstract: A through-silicon via structure is formed by providing a substrate having a first conductive catch pad and a second conductive catch pad formed thereon. The substrate is secured to a wafer carrier. A first etch of a first type is performed on the substrate underlying each of the first and second conductive catch pads to form a first partial through-substrate via of a first diameter underlying the first conductive catch pad and a second partial through-substrate via underlying the second conductive catch pad of a second diameter that differs from the first diameter. A second etch of a second type that differs from the first type is performed to continue etching the first partial through-substrate to form equal depth first and second through-substrate vias respectively to the first and second conductive catch pads.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: September 28, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Chandrasekaram Ramiah, Paul W. Sanders
  • Publication number: 20100221922
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Application
    Filed: May 14, 2010
    Publication date: September 2, 2010
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu