Reactive Ion Beam Etching (i.e., Ribe) Patents (Class 438/712)
  • Patent number: 7803714
    Abstract: A through-silicon via structure is formed by providing a substrate having a first conductive catch pad and a second conductive catch pad formed thereon. The substrate is secured to a wafer carrier. A first etch of a first type is performed on the substrate underlying each of the first and second conductive catch pads to form a first partial through-substrate via of a first diameter underlying the first conductive catch pad and a second partial through-substrate via underlying the second conductive catch pad of a second diameter that differs from the first diameter. A second etch of a second type that differs from the first type is performed to continue etching the first partial through-substrate to form equal depth first and second through-substrate vias respectively to the first and second conductive catch pads.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: September 28, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Chandrasekaram Ramiah, Paul W. Sanders
  • Publication number: 20100221922
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Application
    Filed: May 14, 2010
    Publication date: September 2, 2010
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Patent number: 7786017
    Abstract: Solutions for solutions for utilizing Inverse Reactive Ion Etching lag in double patterning contact formation are disclosed. In one embodiment, a method includes providing a CMOS device including: an NMOS device having an NMOS gate and a PMOS device having a PMOS gate; a shallow trench isolation located between the NMOS device and the PMOS device; and an inter-level dielectric located over the NMOS device, the PMOS device and the shallow trench isolation; performing a double-patterning etch process on the CMOS device under conditions causing inverse reactive ion etching lag, the performing including forming a first opening, a second opening and a third opening, the second opening being wider than the first opening, and the third opening being contiguous with the second opening; and forming a first contact in the first opening and forming a second contact in both of the second opening and the third opening.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: August 31, 2010
    Assignee: International Business Machines Corporation
    Inventors: Bradley J. Morgenfeld, Scott D. Allen, Colin J. Brodsky, Wai-Kin Li
  • Patent number: 7776753
    Abstract: A method of fabricating a semiconductor device includes the steps of forming (or providing) a series of layers formed on a substrate, the layers including a first plurality of layers including an n-type ohmic contact layer, a p-type modulation doped quantum well structure, an n-type modulation doped quantum well structure, and a fourth plurality of layers including a p-type ohmic contact layer. Etch stop layers are used during etching operations when forming contacts to the n-type ohmic contact layer and contacts to the n-type modulation doped quantum well. Preferably, each such etch stop layer is made sufficiently thin to permit current tunneling therethrough during operation of optoelectronic/electronic devices realized from this structure (including heterojunction thyristor devices, n-channel HFET devices, p-channel HFET devices, p-type quantum-well-base bipolar transistor devices, and n-type quantum-well-base bipolar transistor devices).
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: August 17, 2010
    Assignees: University of Connecticut, Opel, Inc.
    Inventors: Geoff W. Taylor, Scott W. Duncan
  • Publication number: 20100197057
    Abstract: A method for manufacturing a semiconductor optical device having an optical grating, includes the steps of: forming a semiconductor layer, an insulating layer and a first resin layer not containing silicon (Si); forming a second resin layer containing silicon (Si) on the first resin layer wherein the second resin layer has a pattern corresponding to the optical grating; etching the first resin layer using the second resin layer as a mask by a reactive ion etching that uses a mixed gas of oxygen and nitrogen where the first resin layer is cooled downto a first temperature during etching to form a protective layer on a side face of the etched first resin layer; increasing the temperature of the first resin layer upto a second temperature higher than the first temperature; etching the insulating layer using the patterned first resin layer as a mask; and forming the optical grating on the semiconductor layer by etching the semiconductor layer using the patterned insulating layer as a mask.
    Type: Application
    Filed: January 27, 2010
    Publication date: August 5, 2010
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Yukihiro TSUJI
  • Patent number: 7767584
    Abstract: A method for providing substantially similar chamber condition before each wafer process operation in a semiconductor process chamber is provided. The method allows for prevention of transport of particle and metal contamination from chamber surfaces to the processed wafer. The method initiates with depositing a silicon containing layer over an inner surface of an empty semiconductor process chamber. Then, a wafer is introduced into the semiconductor process chamber after depositing the silicon containing layer. Next, a process operation is performed on the wafer. The process operation deposits a residue on the silicon containing layer. Next, an in-situ cleaning process is initiated upon completion of the processing operation and removal of the wafer.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: August 3, 2010
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, Saurabh J. Ullal, Shibu Gangadharan
  • Patent number: 7763543
    Abstract: A method for manufacturing a silicon carbide semiconductor apparatus is disclosed. According to the method, an element structure is formed on a front surface side of a semiconductor substrate. A rear surface of the semiconductor substrate is grinded or polished in a direction parallel to a flat surface of a table. A front surface of the semiconductor substrate is grinded and polished in a direction parallel to the rear surface after the rear surface of the semiconductor substrate is grinded or polished.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: July 27, 2010
    Assignee: DENSO CORPORATION
    Inventors: Masatake Nagaya, Yuuichi Takeuchi, Katsuhiro Nagata
  • Patent number: 7758760
    Abstract: A thin film transistor (TFT) array panel and method of manufacturing the same are provided. The method includes forming a semiconductor layer and an ohmic contact layer over a gate line, forming a conductive layer on the ohmic contact layer, forming a first photosensitive layer pattern on the conductive layer, etching the conductive layer using the first photosensitive layer pattern as an etching mask, etching the ohmic contact layer and the semiconductor layer by a fluorine-containing gas, a chloride-containing gas, and an oxygen (O2) gas using the first photosensitive layer pattern as an etching mask, removing the first photosensitive layer pattern to a predetermined thickness to form a second photosensitive layer pattern, and etching the conductive layer using the second photosensitive layer pattern as an etching mask to expose a part of the ohmic contact layer.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Ha Choi, Min-Seok Oh, Hong-Kee Chin, Sang-Gab Kim, Yu-Gwang Jeong
  • Patent number: 7759251
    Abstract: Methods for forming a dual damascene dielectric structure in a porous ultra-low-k (ULK) dielectric material by using gas-cluster ion-beam processing are disclosed. These methods minimize hard-mask layers during dual damascene ULK processing and eliminate hard-masks in the final ULK dual damascene structure. Methods for gas-cluster ion-beam etching, densification, pore sealing and ashing are described that allow simultaneous removal of material and densification of the ULK interfaces. A novel ULK dual damascene structure is disclosed with densified interfaces and no hard-masks.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: July 20, 2010
    Assignee: Tel Epion Corporation
    Inventors: Robert M. Geffken, John J. Hautala
  • Patent number: 7737042
    Abstract: A pulsed plasma system for etching semiconductor structures is described. In one embodiment, a portion of a sample is removed by applying a pulsed plasma process, wherein the pulsed plasma process comprises a plurality of duty cycles. The ON state of a duty cycle is of a duration sufficiently short to substantially inhibit micro-loading in a reaction region adjacent to the sample, while the OFF state of the duty cycle is of a duration sufficiently long to substantially enable removal of a set of etch by-products from the reaction region. In another embodiment, a first portion of a sample is removed by applying a continuous plasma process. The continuous plasma process is then terminated and a second portion of the sample is removed by applying a pulsed plasma process.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Tae Won Kim, Kyeong-Tae Lee, Alexander Paterson, Valentin N. Todorow, Shashank C. Deshmukh
  • Publication number: 20100143744
    Abstract: The surface of a material is textured and by exposing the surface to pulses from an ultrafast laser. The laser treatment causes pillars to form on the treated surface. These pillars provide for greater light absorption. Texturing and crystallization can be carried out as a single step process. The crystallization of the material provides for higher electric conductivity and changes in optical and electronic properties of the material. The method may be performed in vacuum or a gaseous environment. The gaseous environment may aid in texturing and/or modifying physical and chemical properties of the surfaces. This method may be used on various material surfaces, such as semiconductors, metals and their alloys, ceramics, polymers, glasses, composites, as well as crystalline, nanocrystalline, polycrystalline, microcrystalline, and amorphous phases.
    Type: Application
    Filed: March 6, 2008
    Publication date: June 10, 2010
    Applicant: UNIVERSITY OF VIRGINIA PATENT FOUNDATION
    Inventors: Mool C. Gupta, Barada K. Nayak
  • Patent number: 7732334
    Abstract: It is an object of the present invention to provide a method for manufacturing a substrate having film patterns such as an insulating film, a semiconductor film, and a conductive film in simple processes. It is another object of the invention to provide a method for manufacturing a semiconductor device with high throughput and high yield at low cost.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: June 8, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masafumi Morisue, Gen Fujii
  • Patent number: 7727898
    Abstract: A semiconductor device having reliable electrode contacts. First, an interlayer dielectric film is formed from a resinous material. Then, window holes are formed. The interlayer dielectric film is recessed by oxygen plasma. This gives rise to tapering window holes. This makes it easy to make contacts even if the circuit pattern is complex.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: June 1, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventors: Shunpei Yamazaki, Takeshi Fukunaga
  • Patent number: 7718537
    Abstract: A method for manufacturing CBRAM switching elements and CBRAM semiconductor memories with improved switching characteristics so as to remove superfluous, weak, cluster-like, or unbound selenium at the surface of a GeSe layer is solved by the present invention in that, after the generation of an active matrix material or GeSe layer, respectively, a reactive sputter etching process is performed in which the surface layer of the active matrix material or GeSe layer, respectively, is removed at least partially so as to modify the surface structure thereof.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: May 18, 2010
    Assignee: Qimonda AG
    Inventor: Klaus-Dieter Ufert
  • Publication number: 20100120177
    Abstract: A method for manufacturing a semiconductor device is disclosed including determining a dimension or other physical characteristic of a pattern in a layer of material that is disposed on a workpiece, and etching the layer of material using information that is related to the dimension. A system is also disclosed for manufacturing a semiconductor device including a first etch system configured to etch a layer to define a pattern in the layer, and a second etch system configured to measure a physical characteristic of the pattern, determine an etch control parameter based on the physical characteristic, and etch the layer in accordance with the etch control parameter.
    Type: Application
    Filed: January 21, 2010
    Publication date: May 13, 2010
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Haoren Zhuang, Alois Gutmann, Matthias Lipinski, Chandrasekhar Sarma, Jingyu Lian
  • Publication number: 20100117108
    Abstract: The invention relates to processes for the production and elements (components) with a nanostructure (2; 4, 4a) for improving the optical behavior of components and devices and/or for improving the behavior of sensors by enlarging the active surface area. The nanostructure (2) is produced in a self-masking fashion by means of RIE etching and its material composition can be modified and it can be provided with suitable cover layers.
    Type: Application
    Filed: April 10, 2007
    Publication date: May 13, 2010
    Applicant: X-FAB SEMICONDUCTOR FOUNDRIES AG
    Inventors: Daniel Gaebler, Konrad Bach
  • Patent number: 7713843
    Abstract: In the method of fabricating an optical semiconductor device, a semiconductor layer is formed on an InP region, and includes semiconductor films. A first etching mask is formed on the semiconductor layer. The semiconductor layer is etched through the first etching mask to form a semiconductor mesa and a first marking mesa, each mesa includes an active layer and an InP cladding layer, the InP cladding layer being provided on the active layer. The active layer is made of semiconductor material different from InP. An InP burying region is grown through the first etching mask on a side of the semiconductor mesa and a side of the first marking mesa to bury the semiconductor mesa and the first marking mesa. A second etching mask is formed on the InP burying region after removing the first etching mask, and has an opening located above the first marking mesa. InP in the InP burying region and the first marking mesa is etched through the second etching mask to form a second marking mesa.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: May 11, 2010
    Assignee: Sumitomo Electric Industries Ltd.
    Inventor: Masakazu Narita
  • Publication number: 20100111802
    Abstract: By determining a control direction of a pulling-up velocity without using a position or a width of an OSF region as an index, a subsequent pulling-up velocity profile is fed back and adjusted. A silicon single crystal ingot that does not include a COP and a dislocation cluster is grown by a CZ method, a silicon wafer is sliced from the silicon single crystal ingot, reactive ion etching is performed on the silicon wafer in an as-grown state, and a grown-in defect including silicon oxide is exposed as a protrusion on an etching surface. A growing condition in subsequent growing is fed back and adjusted on the basis of an exposed protrusion generation region. As a result, feedback with respect to a nearest batch can be performed without performing heat treatment to expose a defect.
    Type: Application
    Filed: October 23, 2009
    Publication date: May 6, 2010
    Applicant: SUMCO CORPORATION
    Inventors: Shigeru UMENO, Keiichiro HIRAKI, Hiroaki TAGUCHI
  • Publication number: 20100112820
    Abstract: A method for protecting a chuck membrane in a reactive ion etcher during plasma processing is described. The method utilizes a photoresist as a protective layer. Suitable photoresists can be used in this invention to not only image a semiconductor substrate to protect areas where vias and/or cavities are not desired during plasma processing but also to protect the chuck membrane(s) of the reactive ion etcher from being damaged and/or contaminated during plasma processing. Both negative-working and positive-working photoresists can be used.
    Type: Application
    Filed: October 30, 2009
    Publication date: May 6, 2010
    Applicant: E.I. DU PONT DE NEMOURS AND COMPANY
    Inventors: CHESTER E. BALUT, Frank Leonard Schadt, III, Stephen E. Vargo
  • Publication number: 20100105212
    Abstract: A method of fabricating a device, including a semiconductor device. A method of fabricating a semiconductor device may include forming a photoresist pattern on and/or over a substrate, which may expose a predetermined region supposed to include a metal line thereover. A method of fabricating a semiconductor device may include etching a substrate, for example using reactive ion etching, which may use a photoresist pattern. A method of fabricating a semiconductor device may include cleaning a substrate using a liquid inorganic compound. An apparatus may include a photoresist pattern over a substrate, and may include a substrate etched by reactive ion etching using a photoresist pattern and/or cleaned using a liquid inorganic compound.
    Type: Application
    Filed: October 7, 2009
    Publication date: April 29, 2010
    Inventor: Chung-Kyung Jung
  • Publication number: 20100096674
    Abstract: Gray-tone lithography technology is used in combination with a reactive plasma etching operation in the fabrication method and system of a thick semiconductor drift detector. The thick semiconductor drift detector is based on a trench array, where the trenches in the trench array penetrate the bulk with different depths. These trenches form an electrode. By applying different electric potentials to the trenches in the trench array, the silicon between neighboring trenches fully depletes. Furthermore, the applied potentials cause a drifting field for generated charge carriers, which are directed towards a collecting electrode.
    Type: Application
    Filed: October 19, 2009
    Publication date: April 22, 2010
    Inventors: Marc Christophersen, Bernard F. Phlips
  • Patent number: 7700466
    Abstract: In one embodiment, a mandrel and an outer dummy spacer may be employed to form a first conductivity type region. The mandrel is removed to form a recessed region wherein a second conductivity type region is formed. In another embodiment, a mandrel is removed from within shallow trench isolation to form a recessed region, in which an inner dummy spacer is formed. A first conductivity type region and a second conductivity region are formed within the remainder of the recessed region. An anneal is performed so that the first conductivity type region and the second conductivity type region abut each other by diffusion. A gate electrode is formed in self-alignment to the p-n junction between the first and second conductivity regions. The p-n junction controlled by the gate electrode, which may be sublithographic, constitutes an inventive tunneling effect transistor.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: April 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Roger A. Booth, Jr., Kangguo Cheng, Jack A. Mandelman
  • Patent number: 7700444
    Abstract: Misalignment created during a multiple-patterning process is a serious challenge for critical dimension (CD) control and layout design in continuing integrated-circuit device scaling. A number of post-lithography misalignment correction technologies based on the shadow effect are invented for multi-patterning lithographic applications. When applied to transfer patterns from a top layer to an underneath layer, the subtractive shadow effect in anisotropic plasma etching combined with a hard-mask process, will shift the position of features such that the previously produced misalignment can be corrected. Also, additive shadow effect in a sputtering/evaporation process can be used. Misalignment correction methods allow the semiconductor industry to print sub-32 nm (half-pitch) features using the double-patterning technique with currently existing lithographic tools (e.g., 193-nm DUV scanner), therefore postponing the need of expensive next-generation lithography (NGL).
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: April 20, 2010
    Inventor: Yijian Chen
  • Patent number: 7691696
    Abstract: Hemi-spherical structure and method for fabricating the same. A device includes discrete pillar regions on a substrate, and a pattern layer on the discrete support structures and the substrate. The pattern layer has hemi-spherical film regions on the discrete support structures respectively, and planarized portions on the substrate between the hemi-spherical film regions. Each of the hemi-spherical film regions in a position corresponding to each of the support structures serves as a hemi-spherical structure.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: April 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Chyi Liu, Chi-Hsin Lo
  • Patent number: 7674717
    Abstract: A method of fabricating a two dimensional nano-structure array of features comprising the steps of providing a substrate (10); forming an intermediate layer on said substrate (20), said intermediate layer having at least two selectively located regions (21, 22) of different uniform thickness; placing at least one layer of elements (30) over said intermediate layer, said elements placed in a close-packed arrangement forming an array of voids (33) between said elements; etching the intermediate layer through said voids, and so forming the array of features (51, 52) in said intermediate layer corresponding to the voids.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: March 9, 2010
    Assignee: Agency for Science, Technology and Research
    Inventors: Benzhong Wang, Soo Jin Chua
  • Publication number: 20100055825
    Abstract: A method for manufacturing a semiconductor device that includes a semiconductor substrate, the method comprises: a first irradiation step of irradiating a first irradiated region with a focused ion beam so as to selectively remove a first portion corresponding to the first irradiated region of the wiring pattern, the first irradiated region being positioned on an inner side of a short defect portion of the wiring pattern in a direction along a plane parallel to the principal surface; and a second irradiation step of, after the first irradiation step, irradiating a second irradiated region with a focused ion beam so as to remove a second portion corresponding to the second irradiated region of the wiring pattern, the second irradiated region including a region that is positioned on an outer side of the short defect portion in the direction along the plane parallel to the principal surface.
    Type: Application
    Filed: August 7, 2009
    Publication date: March 4, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Kouhei Hashimoto, Masatsugu Itahashi
  • Publication number: 20100048021
    Abstract: A region corresponding to a convex pattern of a first insulating film deposited above a semiconductor substrate having a plurality of convex patterns is removed by anisotropic etching up to a top surface of the convex patterns, the convex patterns are exposed, and a convex portion of the first insulating film is formed. Subsequently, a second insulating film is deposited above the semiconductor substrate, the convex portion of the first insulating film and the second insulating film that covers the convex portion are removed to a surface height of the second insulating film at least on the convex patterns by a CMP process to perform planarization.
    Type: Application
    Filed: July 29, 2009
    Publication date: February 25, 2010
    Inventor: Takatoshi ONO
  • Patent number: 7666800
    Abstract: Methods of patterning features of semiconductor devices and methods of processing and fabricating semiconductor devices are disclosed. In one embodiment, a method of processing a semiconductor device includes forming first sidewall spacers on a first hard mask, removing the first hard mask, and forming a first material layer over the first sidewall spacers. A second hard mask is formed over the first material layer and the first sidewall spacers. Second sidewall spacers are formed on the second hard mask, and the second hard mask is removed. At least the first sidewall spacers are patterned using the second sidewall spacers as a mask.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: February 23, 2010
    Assignee: Infineon Technologies AG
    Inventors: Sajan Marokkey, Alois Gutmann, Klaus Herold, Chandrasekhar Sarma
  • Publication number: 20100019345
    Abstract: An integrated circuit is described. The integrated circuit may have: an active area line formed of a material of a semiconductor substrate with a first longitudinal direction parallel to an upper surface of the semiconductor substrate; wherein the active area line has at least one form-supporting element extending in a second longitudinal direction parallel to the upper surface of the semiconductor substrate; and wherein the second longitudinal direction is arranged with regard to the first longitudinal direction in an angle unequal to 0 degree and unequal to 180 degree.
    Type: Application
    Filed: July 23, 2008
    Publication date: January 28, 2010
    Inventors: Josef Willer, Michael Specht, Christoph Friederich, Doris Keitel-Schulz
  • Publication number: 20100019388
    Abstract: A process for forming vertical contacts in the manufacture of integrated circuits and devices eliminating the need for precise mask alignment and allowing the etching of the contact hole controlled independent of the etching of the interconnect trough that may be repeated during the formation of multilevel integrated circuits. The process includes forming an insulating layer on the surface of a substrate; forming an etch stop layer on the surface of the insulating layer; forming an opening in the etch stop layer; etching to a first depth through the opening in the etch stop layer and into the insulating layer to form an interconnect trough; forming a photoresist mask on the surface of the etch stop layer and in the trough; and continuing to etch through the insulating layer until reaching the surface of the substrate to form a contact hole.
    Type: Application
    Filed: September 23, 2009
    Publication date: January 28, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Charles H. Dennison, Trung T. Doan
  • Publication number: 20100022088
    Abstract: A process including forming a silicon layer over a semiconductor wafer having features thereon and then selectively ion implanting in the silicon layer to form ion implanted regions. The step of selectively ion implanting is repeated as many times as necessary to obtain a predetermined number and density of features. Thereafter, the silicon layer is etched to form openings in the silicon layer that were formerly occupied by the ion implanted regions. The opened areas in the silicon layer form a mask for further processing of the semiconductor wafer.
    Type: Application
    Filed: July 22, 2008
    Publication date: January 28, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jin Wallner, Thomas A. Wallner, Ying Zhang
  • Patent number: 7651947
    Abstract: Methods of forming a mask for implanting a substrate and implanting using an implant stopping layer with a photoresist provide lower aspect ratio masks that cause minimal damage to trench isolations in the substrate during removal of the mask. In one embodiment, a method of forming a mask includes: depositing an implant stopping layer over the substrate; depositing a photoresist over the implant stopping layer, the implant stopping layer having a density greater than the photoresist; forming a pattern in the photoresist by removing a portion of the photoresist to expose the implant stopping layer; and transferring the pattern into the implant stopping layer by etching to form the mask. The implant stopping layer may include: hydrogenated germanium carbide, nitrogenated germanium carbide, fluorinated germanium carbide, and/or amorphous germanium carbon hydride (GeHX), where X includes carbon. The methods/mask reduce scattering during implanting because the mask has higher density than conventional masks.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: January 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Todd C. Bailey, Richard A. Conti, Ryan P. Deschner
  • Patent number: 7648867
    Abstract: A method for fabricating a semiconductor device includes: forming a dummy gate that defines a region in which a gate electrode should be formed on a semiconductor substrate; forming a surface film on the semiconductor substrate by directional sputtering vertical to a surface of the semiconductor substrate, the directional sputtering being one of collimate sputtering, long throw sputtering and ion beam sputtering; removing the surface film formed along a sidewall of the dummy gate; removing the dummy gate; and forming the gate electrode in the region from which the dummy gate on the semiconductor substrate has been removed.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: January 19, 2010
    Assignee: Eudyna Devices Inc.
    Inventors: Masataka Watanabe, Hiroshi Yano
  • Patent number: 7645666
    Abstract: One or more embodiments relate to a method of making a heterojunction bipolar transistor (HBT) structure. The method includes: forming a partially completed heterojunction bipolar transistor (HBT) structure where the partially completed heterojunction bipolar transistor (HBT) structure includes a silicon layer having an exposed surface and a nitride layer having an exposed surface. The method includes growing a first oxide on the silicon layer and etching the nitride layer using an etchant.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: January 12, 2010
    Assignee: Infineon Technologies AG
    Inventor: Detlef Wilhelm
  • Patent number: 7645667
    Abstract: System and method for self-aligned etching. According to an embodiment, the present invention provides a method for performing self-aligned source etching process. The method includes a step for providing a substrate material. The method also includes a step for forming a layer of etchable oxide material overlying at least a portion of the substrate material. The layer of etchable oxide material can characterized by a first thickness. The layer of etchable oxide material includes a first portion, a second portion, and a third portion. The second portion is positioned between the first portion and the third portion. The method additionally includes a step for forming a plurality of structures overlying the layer of etchable oxide material. The plurality of structures includes a first structure and a second structure.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: January 12, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Zhongshan Hong, Xue Li
  • Patent number: 7642194
    Abstract: A method of etching for forming a groove in a SOI substrate includes a forming step, in which a mixed gas plasma is formed by using a mixed gas of a fluorinate gas and an oxygenic gas, and an applying step, in which a high-frequency bias is intermittently applied to the SOI substrate. In the applying step, the high-frequency bias is a temporally modulated high-frequency electricity. According to the method of etching, a yielding rate and a productivity can be improved.
    Type: Grant
    Filed: August 17, 2006
    Date of Patent: January 5, 2010
    Assignee: DENSO CORPORATION
    Inventors: Yuji Kato, Eiji Ishikawa, Yutaka Kudou, Satoshi Tani, Kazuo Takata
  • Publication number: 20090325388
    Abstract: In a semiconductor that has a structure in which a work function controlling metal conductor is provided on a high dielectric insulation film, fine processing is performed without deteriorating a device. In a method of semiconductor processing, in which the semiconductor has an insulation film containing Hf or Zr formed on a semiconductor substrate and a conductor film containing Ti or Ta or Ru formed on an insulation film, and the conductor film is processed by using a resist formed on the conductor film under a plasma atmosphere, the resist is removed under the plasma atmosphere of gas that contains hydrogen and does not contain oxygen.
    Type: Application
    Filed: August 26, 2008
    Publication date: December 31, 2009
    Inventors: Tetsuo Ono, Go Saito
  • Publication number: 20090302431
    Abstract: The invention generally relates to semiconductor device processing, and more particularly to methods of accessing semiconductor circuits from the backside using ion-beam and gas-etch to mill deep vias through full-thickness silicon. A method includes creating a pocket in a material to be etched, and performing an isotropic etch of the material by flowing a reactive gas into the pocket and directing a focused ion beam into the pocket.
    Type: Application
    Filed: June 10, 2008
    Publication date: December 10, 2009
    Applicant: International Business Machines Corporation
    Inventors: Carmelo F. Scrudato, George Y. Gu, Loren L. Hahn, Steven B. Herschbein
  • Publication number: 20090305510
    Abstract: Disclosed is a method of structuring a material surface by dry etching, so that a passivation layer soluble in a solvent forms by the dry etching on parts of the structured material surface, sealing the passivation layer with a substance soluble in the solvent, and removing the sealed passivation layer and the substance by means of the solvent.
    Type: Application
    Filed: June 6, 2008
    Publication date: December 10, 2009
    Inventors: Maria Heidenblut, Raimund Foerg, Walter Preis
  • Patent number: 7629259
    Abstract: A method for aligning a reticle is provided. A first patterned layer with a first alignment grid is formed. Sidewall layers are formed over the first patterned layer to perform a first shrink. The first alignment grid after shrink is etched into an etch layer to form an etched first alignment grid. The patterned layer is removed. An optical pattern of a second alignment grid aligned over the etched first alignment grid is measured. The optical pattern is used to determine whether the second alignment grid is aligned over the etched first alignment grid.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: December 8, 2009
    Assignee: Lam Research Corporation
    Inventor: S. M. Reza Sadjadi
  • Patent number: 7629221
    Abstract: Disclosed is a method for forming a capacitor of a semiconductor device. In such a method, a mold insulating layer is formed on an insulating interlayer provided with a storage node plug, and the mold insulating layer is etched to form a hole through which the storage node plug is exposed. Next, a metal storage electrode with an interposed WN layer is formed on a hole surface including the exposed storage node plug and the mold insulating layer is removed. Finally, a dielectric layer and a plate electrode are formed in order on the metal storage electrode.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: December 8, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki Seon Park, Jae Sung Roh, Hyun Chul Sohn
  • Publication number: 20090298287
    Abstract: A method is provided in plasma processing of a workpiece for stabilizing the plasma against engineered transients in applied RF power, by modulating an unmatched low power RF generator in synchronism with the transient.
    Type: Application
    Filed: May 29, 2008
    Publication date: December 3, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Steven C. Shannon, Kartik Ramaswamy, Daniel J. Hoffman, Matthew L. Miller, Kenneth S. Collins
  • Publication number: 20090280625
    Abstract: A method for separating a semiconductor from a substrate is disclosed. The method comprises the following steps: forming a plurality of columns on a substrate; epitaxially growing a semiconductor on the plurality of columns; and injecting etching liquid into the void among the plurality of columns so as to separate the semiconductor from the substrate. The method of this invention can enhance the etching efficiency of separating the semiconductor from the substrate and reduce the fabrication cost because the etching area is increased due to the void among the plurality of columns. In addition, the method will not confine the material of the above-mentioned substrate.
    Type: Application
    Filed: May 7, 2009
    Publication date: November 12, 2009
    Applicant: ADVANCED OPTOELECTRONIC TECHNOLOGY INC.
    Inventors: WEN YU LIN, SHIH CHENG HUANG, PO MIN TU, CHIH PENG HSU, SHIH HSIUNG CHAN
  • Publication number: 20090275205
    Abstract: A method of removing at least a portion of a silicon oxide material is disclosed. The silicon oxide is removed by exposing a semiconductor structure comprising a substrate and the silicon oxide to an ammonium fluoride chemical treatment and a subsequent plasma treatment, both of which may be effected in the same vacuum chamber of a processing apparatus. The ammonium fluoride chemical treatment converts the silicon oxide to a solid reaction product in a self-limiting reaction, the solid reaction product then being volatilized by the plasma treatment. The plasma treatment includes a plasma having an ion bombardment energy of less than or equal to approximately 20 eV. An ammonium fluoride chemical treatment including an alkylated ammonia derivative and hydrogen fluoride is also disclosed.
    Type: Application
    Filed: May 2, 2008
    Publication date: November 5, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Mark W. Kiehlbauch, J. Neil Greeley, Paul A. Morgan
  • Patent number: 7608545
    Abstract: Embodiments relate to a semiconductor device and a method of manufacturing a semiconductor. In embodiments, the method may include a first exposure step of performing an exposure process for forming a first photoresist on a semiconductor substrate at one side of the outside of a trench pattern which will be formed, a first etching step of performing a predetermined dry etching method with respect to the first photoresist, a second exposure step of performing an exposure process for forming a second photoresist at the other side of the outside of the trench pattern, which is a side opposite to the first photoresist, and a second etching step of performing the predetermined dry etching method with respect to the second photoresist.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: October 27, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Young-Je Yun
  • Publication number: 20090261353
    Abstract: The invention relates to methods and devices comprising a nanostructure (2;4,4a) for improving the optical behavior of components and apparatuses and/or improving the behavior of sensors by increasing the active surface area. The nanostructure (2) is produced by means of a special RIE etching process, can be modified regarding the composition of the materials thereof, and can be provided with adequate coatings. The amount of material used for the base layer (3) can be reduced by supplying a buffer layer (406). Many applications are disclosed.
    Type: Application
    Filed: October 10, 2006
    Publication date: October 22, 2009
    Applicant: X-FAB SEMICONDUCTOR FOUNDRIES AG
    Inventors: Daniel Gaebler, Konrad Bach
  • Publication number: 20090243084
    Abstract: A suspension microstructure and its fabrication method, in which the method comprises the steps of: forming at least one insulation layer with inner micro-electro-mechanical structures on an upper surface of a silicon substrate, the micro-electro-mechanical structure includes at least one microstructure and a plurality of metal circuits that are independent from each other, the micro-electro-mechanical structures have an exposed portion on the surface of the insulation layer, and the exposed portion is provided with through holes or stacked metal-via layers correspondingly to the predetermined etching spaces of the micro-electro-mechanical structures, the above predetermined etching spaces and the stacked metal-via layers only penetrate the insulation layer; forming a photoresist with an opening on the upper surface of the exposed portion, and the opening of the photoresist is located outside all the through holes or the stacked metal-via layers; subsequently, conducting etching to realize the suspension of t
    Type: Application
    Filed: October 2, 2008
    Publication date: October 1, 2009
    Inventor: Siew-Seong TAN
  • Publication number: 20090236693
    Abstract: Films of III-nitride for semiconductor device growth are planarized using an etch-back method. The method includes coating a III-nitride surface having surface roughness features in the micron range with a sacrificial planarization material such as an appropriately chose photoresist. The sacrificial planarization material is then etched together with the III-nitride roughness features using dry etch methods such as inductivel coupled plasma reactive ion etching. By closely matching the etch rates of the sacrificial planarization material and the III-nitride material, a planarized III-nitride surface is achieved. The etch-back process together with a high temperature annealing process yields a planarize III-nitride surface with surface roughness features reduced to the nm range. Planarized III-nitride, e.g., GaN, substrates and devices containing them are also provided.
    Type: Application
    Filed: February 2, 2007
    Publication date: September 24, 2009
    Applicant: Trustees of Boston University
    Inventors: Theodore D. Moustakas, Adrian D. Williams
  • Publication number: 20090236608
    Abstract: In a method of making a vertical graphitic path on a silicon carbide crystal having a horizontal surface, a portion of the silicon carbide crystal is removed from the horizontal surface so as to define a vertical surface that is transverse to the horizontal surface of the silicon carbide crystal. The vertical surface is annealed so as to generate a thin-film graphitic layer on the vertical surface. In another method of making graphitic layers, a material that inhibits formation of a graphitic layer when the silicon carbide crystal is annealed is applied to a surface of a silicon carbide crystal so as to define at least one opening that exposes a portion of the surface of the silicon carbide crystal. The portion of the silicon carbide crystal is annealed so as to generate a thin-film graphitic layer in the portion of the silicon carbide crystal.
    Type: Application
    Filed: July 1, 2008
    Publication date: September 24, 2009
    Applicant: GEORGIA TECH RESEARCH CORPORATION
    Inventors: Walt A. de Heer, Phillip N. First
  • Patent number: 7589025
    Abstract: Methods are disclosed for providing reduced particle generating silicon carbide. The silicon carbide articles may be used as component parts in apparatus used to process semiconductor wafers. The reduced particle generation during semiconductor processing reduces contamination on semiconductor wafers thus increasing their yield.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: September 15, 2009
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Jitendra S. Goela, Nathaniel E. Brese, Michael A. Pickering